-
1
-
-
0032842922
-
Pushing the limits
-
P. Packan Pushing the limits Science 285 1999 2079 2081
-
(1999)
Science
, vol.285
, pp. 2079-2081
-
-
Packan, P.1
-
2
-
-
0035872897
-
High-κ gate dielectrics: Current status and materials properties considerations
-
DOI 10.1063/1.1361065
-
G.D. Wilk, R.M. Wallace, and J.M. Anthony High-k gate dielectrics: current status and materials properties considerations J Appl Phys 89 2001 5243 5275 (Pubitemid 33598307)
-
(2001)
Journal of Applied Physics
, vol.89
, Issue.10
, pp. 5243-5275
-
-
Wilk, G.D.1
Wallace, R.M.2
Anthony, J.M.3
-
5
-
-
77951862638
-
Photoinduced charge-trapping phenomena in metal/high-k gate stack structures studied by synchrotron radiation photoemission spectroscopy
-
T. Tanimura, S. Toyoda, H. Kamada, H. Kumigashira, M. Oshima, and T. Sukegawa Photoinduced charge-trapping phenomena in metal/high-k gate stack structures studied by synchrotron radiation photoemission spectroscopy Appl Phys Lett 96 2010 16290
-
(2010)
Appl Phys Lett
, vol.96
, pp. 16290
-
-
Tanimura, T.1
Toyoda, S.2
Kamada, H.3
Kumigashira, H.4
Oshima, M.5
Sukegawa, T.6
-
6
-
-
71049191973
-
Relationship between band alighnemt and chemical states upon annealing in HfSiON/SiON stacked films on Si substrates
-
T. Tanimura, S. Toyoda, H. Kamada, H. Kumigashira, M. Oshima, and G.L. Liu Relationship between band alighnemt and chemical states upon annealing in HfSiON/SiON stacked films on Si substrates Appl Phys Lett 95 2009 183113
-
(2009)
Appl Phys Lett
, vol.95
, pp. 183113
-
-
Tanimura, T.1
Toyoda, S.2
Kamada, H.3
Kumigashira, H.4
Oshima, M.5
Liu, G.L.6
-
7
-
-
33846045196
-
y gate dielectric films
-
DOI 10.1016/j.mssp.2006.10.003, PII S1369800106002174, E-MRS 2006 Spring Meeting - Symposium L: Characterization of high-k dielectric materials
-
y gate dielectric films Mater Sci Semicond Process 9 2006 870 875 (Pubitemid 46073836)
-
(2006)
Materials Science in Semiconductor Processing
, vol.9
, Issue.6
, pp. 870-875
-
-
He, G.1
Fang, Q.2
Zhang, L.D.3
-
8
-
-
12444251122
-
2 films on Si (1 0 0)
-
DOI 10.1016/j.susc.2004.11.042, PII S0039602804015249
-
2 films on Si(1 0 0) Surf Sci 576 2005 67 75 (Pubitemid 40146258)
-
(2005)
Surface Science
, vol.576
, Issue.1-3
, pp. 67-75
-
-
He, G.1
Liu, M.2
Zhu, L.Q.3
Chang, M.4
Fang, Q.5
Zhang, L.D.6
-
12
-
-
0034739021
-
Alternative dielectrics to silicon dioxide for memory and logic devices
-
A.I. Kingon, J.P. Maria, and S.K. Streiffer Alternative dielectrics to silicon dioxide for memory and logic devices Nature 406 2000 1032 1038
-
(2000)
Nature
, vol.406
, pp. 1032-1038
-
-
Kingon, A.I.1
Maria, J.P.2
Streiffer, S.K.3
-
13
-
-
31044455312
-
High dielectric constant gate oxides for metal oxide Si transistors
-
DOI 10.1088/0034-4885/69/2/R02, PII S0034488506721856
-
J. Robertson High dielectric constant gate oxides for metal oxide Si transistors Rep Prog Phys 69 2006 327 396 (Pubitemid 43121643)
-
(2006)
Reports on Progress in Physics
, vol.69
, Issue.2
, pp. 327-396
-
-
Robertson, J.1
-
14
-
-
33646875269
-
Gate stack technology for nanoscale devices
-
DOI 10.1016/S1369-7021(06)71541-3, PII S1369702106715413
-
B.H. Lee, J.W. Oh, H.H. Tseng, R. Jammy, and H. Huff Gate stack technology for nanoscale devices Mater Today 9 2006 32 40 (Pubitemid 43783459)
-
(2006)
Materials Today
, vol.9
, Issue.6
, pp. 32-40
-
-
Lee, B.H.1
Oh, J.2
Tseng, H.H.3
Jammy, R.4
Huff, H.5
-
15
-
-
34547991110
-
Current progress of Hf (Zr)-based high-k gate dielectric thin films
-
G. He, and L.D. Zhang Current progress of Hf (Zr)-based high-k gate dielectric thin films J Mater Sci Technol 23 2007 433 448 (Pubitemid 47270526)
-
(2007)
Journal of Materials Science and Technology
, vol.23
, Issue.4
, pp. 433-448
-
-
He, G.1
Zhang, L.2
-
16
-
-
0002007506
-
Progress in digital integrated electronics
-
G.E. Moore Progress in digital integrated electronics IEEE IEDM Tech Dig 21 1975 11 13
-
(1975)
IEEE IEDM Tech Dig
, vol.21
, pp. 11-13
-
-
Moore, G.E.1
-
17
-
-
0016116644
-
Design of ion-implanted MOSFET's with very small physical dimensions
-
R.H. Dennard, F.H. Gaensslen, V.L. Rideout, E. Bassous, and A.R. LeBlanc Design of ion-implanted MOSFET's with very small physical dimensions IEEE J Solid-State Circuits 9 1974 256 268
-
(1974)
IEEE J Solid-State Circuits
, vol.9
, pp. 256-268
-
-
Dennard, R.H.1
Gaensslen, F.H.2
Rideout, V.L.3
Bassous, E.4
Leblanc, A.R.5
-
18
-
-
0033600266
-
The end of the road for silicon?
-
DOI 10.1038/21526
-
M. Schulz The end of the road for silicon? Nature 399 1999 729 730 (Pubitemid 29293151)
-
(1999)
Nature
, vol.399
, Issue.6738
, pp. 729-730
-
-
Schulz, M.1
-
19
-
-
0033600230
-
The electronic structure at the atomic scale of ultrathin gate oxides
-
DOI 10.1038/21602
-
D.A. Muller, T. Sorsch, S. Moccio, F. Baumann, K. Evans-Lutterodt, and G. Timp The electronic structure at the atomic scale of ultrathin gate oxide Nature 399 1999 758 761 (Pubitemid 29293166)
-
(1999)
Nature
, vol.399
, Issue.6738
, pp. 758-761
-
-
Muller, D.A.1
Sorsch, T.2
Moccio, S.3
Baumann, F.H.4
Evans-Lutterodt, K.5
Timp, G.6
-
20
-
-
0033600266
-
The end of the road for silicon?
-
DOI 10.1038/21526
-
M. Schulz The end of the road for silicon? Nature 399 1999 729 730 (Pubitemid 29293151)
-
(1999)
Nature
, vol.399
, Issue.6738
, pp. 729-730
-
-
Schulz, M.1
-
21
-
-
17344376740
-
100 nm gate length high performance/low power CMOS transistor structure
-
T. Ghani, S. Ahmed, P. Aminzadeh, J. Bielefeld, P. Charvat, and C. Chu 100 nm gatelength high performance/low power CMOS transistor structure IEDM Tech Digest 1999 415 418 (Pubitemid 30574496)
-
(1999)
Technical Digest - International Electron Devices Meeting
, pp. 415-418
-
-
Ghani, T.1
Ahmed, S.2
Aminzadeh, P.3
Bielefeld, J.4
Charvat, P.5
Chu, C.6
Harper, M.7
Jacob, P.8
Jan, C.9
Kavalieros, J.10
Kenyon, C.11
Nagisetty, R.12
Packan, P.13
Sebastian, J.14
Taylor, M.15
-
22
-
-
18844480284
-
High-performance sub-0.08 μm CMOS with dual gate oxide and 9.7 ps inverter delay
-
M. Hargrove, S. Crowder, E. Nowak, R. Logan, L. Han, and H. Ng High-performance sub-0.08 μm CMOS with dual gate oxide and 9.7 ps inverter delay IEDM Tech Dig 1998 627 630
-
(1998)
IEDM Tech Dig
, pp. 627-630
-
-
Hargrove, M.1
Crowder, S.2
Nowak, E.3
Logan, R.4
Han, L.5
Ng, H.6
-
23
-
-
17344388296
-
A 1.2 V, 0.1 μm gate length CMOS technology: Design and process issues
-
M. Rodder, S. Hattangady, N. Yu, W. Shiau, P. Nicollian, and T. Laaksonen A 1.2 V, 0.1 μm gate length CMOS technology: design and process issues IEEE IEDM Tech Dig 1998 623 626
-
(1998)
IEEE IEDM Tech Dig
, pp. 623-626
-
-
Rodder, M.1
Hattangady, S.2
Yu, N.3
Shiau, W.4
Nicollian, P.5
Laaksonen, T.6
-
24
-
-
0032662942
-
Modeling and characterization of quantization, polysilicon depletion, and direct tunelling effects in MOSFETs with ultrathin oxides
-
S.H. Lo, D. Buchanan, and Y. Taur Modeling and characterization of quantization, polysilicon depletion, and direct tunelling effects in MOSFETs with ultrathin oxides IBM J Res Dev 43 1999 327 338
-
(1999)
IBM J Res Dev
, vol.43
, pp. 327-338
-
-
Lo, S.H.1
Buchanan, D.2
Taur, Y.3
-
25
-
-
0039436914
-
2 and Si-O-N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits
-
DOI 10.1063/1.1385803
-
2 and Si-O-N gate dielectric layers for silicon microelectronics: understanding the processing, structure, and physical and electrical limits J Appl Phys 90 2001 2057 2121 (Pubitemid 33600615)
-
(2001)
Journal of Applied Physics
, vol.90
, Issue.5
, pp. 2057-2121
-
-
Green, M.L.1
Gusev, E.P.2
Degraeve, R.3
Garfunkel, E.L.4
-
26
-
-
0032641116
-
Reliability of ultrathin gate oxides for ULSI devices
-
C.Y. Chang, C.C. Chen, H.C. Lin, M.S. Liang, C.H. Chien, and T.Y. Huang Reliability of ultrathin gate oxides for ULSI devices Microelectron Reliab 39 1999 553 566
-
(1999)
Microelectron Reliab
, vol.39
, pp. 553-566
-
-
Chang, C.Y.1
Chen, C.C.2
Lin, H.C.3
Liang, M.S.4
Chien, C.H.5
Huang, T.Y.6
-
28
-
-
0033750059
-
Gate oxide reliability projection to the sub-2 nm regime
-
B.E. Weir, M.A. Alam, J.D. Bude, P.J. Silverman, A. Ghetti, and F. Baumann Gate oxide reliability projection to the sub-2 nm regime Semicond Sci Technol 15 2000 455 461
-
(2000)
Semicond Sci Technol
, vol.15
, pp. 455-461
-
-
Weir, B.E.1
Alam, M.A.2
Bude, J.D.3
Silverman, P.J.4
Ghetti, A.5
Baumann, F.6
-
30
-
-
0030291621
-
Thermodynamic stability of binary oxides in contact with silicon
-
K.J. Hubbard, and D.G. Schlom Thermodynamic stability of binary oxides in contact with silicon J Mater Res 11 1996 2757 2776 (Pubitemid 126531137)
-
(1996)
Journal of Materials Research
, vol.11
, Issue.11
, pp. 2757-2776
-
-
Hubbard, K.J.1
Schlom, D.G.2
-
31
-
-
78049238605
-
y/Si gate stacks revealed by synchrotron radiation photoemission spectroscopy
-
y/Si gate stacks revealed by synchrotron radiation photoemission spectroscopy Appl Surf Sci 257 2010 1638 1642
-
(2010)
Appl Surf Sci
, vol.257
, pp. 1638-1642
-
-
He, G.1
Toyoda, S.2
Oshima, M.3
Shimogaki, Y.4
-
34
-
-
33846107462
-
2 thin films by nitrogen-assisted reactive magnetron sputtering
-
DOI 10.1016/j.mssp.2006.10.019, PII S1369800106002459, E-MRS 2006 Spring Meeting - Symposium L: Characterization of high-k dielectric materials
-
2 thin films by nitrogen-assisted reactive magnetron sputtering Mater Sci Semicon Process 9 2006 1025 1030 (Pubitemid 46073858)
-
(2006)
Materials Science in Semiconductor Processing
, vol.9
, Issue.6
, pp. 1025-1030
-
-
Zhu, L.Q.1
Fang, Q.2
He, G.3
Liu, M.4
Xu, X.X.5
Zhang, L.D.6
-
36
-
-
0032187666
-
Generalized scale length for two-dimensional effects in MOSFET's
-
PII S0741310698073388
-
D.J. Frank, Y. Taur, and H.S.P. Wong Generalized scale length for two-dimensional effects in MOSFET's IEEE Electron Dev Lett 19 1998 385 387 (Pubitemid 128573413)
-
(1998)
IEEE Electron Device Letters
, vol.19
, Issue.10
, pp. 385-387
-
-
Frank, D.J.1
Taur, Y.2
Wong, H.-S.P.3
-
37
-
-
10844282779
-
High dielectric constant oxides
-
DOI 10.1051/epjap:2004206
-
J. Robertson High dielectric constant oxides Eur Phys J Appl Phys 28 2004 265 291 (Pubitemid 40002196)
-
(2004)
EPJ Applied Physics
, vol.28
, Issue.3
, pp. 265-291
-
-
Robertson, J.1
-
38
-
-
0035519201
-
Photoemission study of energy-band alignments and gap-state density distributions for high-k gate dielectrics
-
DOI 10.1116/1.1418405, 45th International COnference on Electron, Ion, and Photon Beam Technology and Nanofabrication
-
S. Miyazaki Photoemission study of energy-band alignments and gap-state density distributions for high-k gate dielectrics J Vac Sci Technol B 19 2001 2212 2216 (Pubitemid 34089722)
-
(2001)
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures
, vol.19
, Issue.6
, pp. 2212-2216
-
-
Miyazaki, S.1
-
40
-
-
0037434195
-
Determination of interface energy band diagram between (1 0 0)Si and mixed Al-Hf oxides using internal electron photoemission
-
V.V. Afanas'ev, A. Stesmans, and W. Tsai Determination of interface energy band diagram between (1 0 0)Si and mixed Al-Hf oxides using internal electron photoemission Appl Phys Lett 82 2003 245 247
-
(2003)
Appl Phys Lett
, vol.82
, pp. 245-247
-
-
Afanas'ev, V.V.1
Stesmans, A.2
Tsai, W.3
-
41
-
-
0029359901
-
Dielectrics for field-effect technology
-
P. Balk Dielectrics for field-effect technology Adv Mater 7 1995 703 710
-
(1995)
Adv Mater
, vol.7
, pp. 703-710
-
-
Balk, P.1
-
43
-
-
0036508378
-
Process requirements for continued scaling of CMOS - The need and prospects for atomic-level manipulation
-
P.D. Agnello Process requirements for continued scaling of CMOS-the need and prospect for atomic-level manipulation IBM J Res Dev 46 2002 317 338 (Pubitemid 34692354)
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.2-3
, pp. 317-338
-
-
Agnello, P.D.1
-
44
-
-
0035834318
-
Recent advances in Schottky barrier concepts
-
R.T. Tung Recent advances in Schottky barrier concepts Mater Sci Eng 35 2001 1 138
-
(2001)
Mater Sci Eng
, vol.35
, pp. 1-138
-
-
Tung, R.T.1
-
45
-
-
3743067479
-
Theory of surface states
-
V. Heine Theory of surface states Phys Rev 138 1965 1689 1696
-
(1965)
Phys Rev
, vol.138
, pp. 1689-1696
-
-
Heine, V.1
-
46
-
-
4243609344
-
Theory of semiconductor heterojunction: The role of quantum dipoles
-
J. Tersoff Theory of semiconductor heterojunction: the role of quantum dipoles Phys Rev B 30 1984 4477 4874
-
(1984)
Phys Rev B
, vol.30
, pp. 4477-4874
-
-
Tersoff, J.1
-
47
-
-
22644451116
-
Barrier heights of real Schottky contacts explained by metal-induced gap states and lateral inhomogeneities
-
W. Monch Barrier heights of real Schottky contacts explained by metal-induced gap states and lateral inhomogeneities J Vac Sci Technol B 17 1999 1876 1897
-
(1999)
J Vac Sci Technol B
, vol.17
, pp. 1876-1897
-
-
Monch, W.1
-
48
-
-
0342955088
-
Chemical bonding and Fermi level pinning at metal-semiconductor interfaces
-
R.T. Tung Chemical bonding and Fermi level pinning at metal-semiconductor interfaces Phys Rev Lett 84 2000 6078 6081
-
(2000)
Phys Rev Lett
, vol.84
, pp. 6078-6081
-
-
Tung, R.T.1
-
49
-
-
2942657401
-
Fermi level pinning at the polysilicon/metal oxide interface-Part II
-
C.C. Hobbs, L.R.C. Fonseca, A. Knizhnik, V. Dhandapani, S.B. Samavedam, and W.J. Taylor Fermi level pinning at the polysilicon/metal oxide interface-Part II IEEE Trans Electron Dev 51 2004 978 984
-
(2004)
IEEE Trans Electron Dev
, vol.51
, pp. 978-984
-
-
Hobbs, C.C.1
Fonseca, L.R.C.2
Knizhnik, A.3
Dhandapani, V.4
Samavedam, S.B.5
Taylor, W.J.6
-
50
-
-
2942689784
-
Fermi level pinning at the polysilicon/metal oxide interface-Part i
-
C.C. Hobbs, L.R.C. Fonseca, A. Knizhnik, V. Dhandapani, S.B. Samavedam, and W.J. Taylor Fermi level pinning at the polysilicon/metal oxide interface-Part I IEEE Trans Electron Dev 51 2004 971 977
-
(2004)
IEEE Trans Electron Dev
, vol.51
, pp. 971-977
-
-
Hobbs, C.C.1
Fonseca, L.R.C.2
Knizhnik, A.3
Dhandapani, V.4
Samavedam, S.B.5
Taylor, W.J.6
-
51
-
-
0037115703
-
Metal-delectric band alignment and its implications for metal gate complementary metal-oxide-semiconductor ntechnology
-
Y.C. Yeo, T.J. King, and C. Hu Metal-delectric band alignment and its implications for metal gate complementary metal-oxide-semiconductor ntechnology J Appl Phys 92 2002 7266 7271
-
(2002)
J Appl Phys
, vol.92
, pp. 7266-7271
-
-
Yeo, Y.C.1
King, T.J.2
Hu, C.3
-
52
-
-
2442507891
-
Fermi pinning-induced thermal instability of metal-gate work functions
-
H.Y. Yu, C. Ren, Y.C. Yeo, J.F. Kang, X.P. Wang, and H.H.H. Ma Fermi pinning-induced thermal instability of metal-gate work functions IEEE Electron Dev Lett 25 2004 337 339
-
(2004)
IEEE Electron Dev Lett
, vol.25
, pp. 337-339
-
-
Yu, H.Y.1
Ren, C.2
Yeo, Y.C.3
Kang, J.F.4
Wang, X.P.5
Ma, H.H.H.6
-
53
-
-
0023994981
-
Yttrium oxide/silicon dioxide: A new dielectric structure for VLSI/ULSI circuits
-
L. Machanda, and M. Gurvitch Yttrium oxide/silicon dioxide: a new dielectric structure for VLSI/ULSI circuits IEEE Electron Dev Lett 9 1988 180 182 (Pubitemid 18612194)
-
(1988)
Electron device letters
, vol.9
, Issue.4
, pp. 180-182
-
-
Manchanda Lalita1
Gurvitch, M.2
-
57
-
-
0030865462
-
2 dielectrics
-
PII S0018938397003134
-
2 dielectrics IEEE Trans Electron Dev 44 1997 104 109 (Pubitemid 127761355)
-
(1997)
IEEE Transactions on Electron Devices
, vol.44
, Issue.1
, pp. 104-109
-
-
Campbell, S.A.1
Gilmer, D.C.2
Wang, X.-C.3
Hsieh, M.-T.4
Kim, H.-S.5
Gladfelter, W.L.6
Yan, J.7
-
59
-
-
0032165959
-
5 films and conventional gate dielectrics
-
PII S0741310698066324
-
5 films and conventional gate dielectrics IEEE Electron Dev Lett 19 1998 341 342 (Pubitemid 128577945)
-
(1998)
IEEE Electron Device Letters
, vol.19
, Issue.9
, pp. 341-342
-
-
Lu, Q.1
Park, D.2
Kalnitsky, A.3
Chang, C.4
Cheng, C.-C.5
Tay, S.P.6
King, T.-J.7
Hu, C.8
-
60
-
-
0032614865
-
Effects of interfacial layer growth on the electrical characteristics of thin titanium oxide films on silicon
-
B.H. Lee, Y.J. Jeon, K. Zawadzki, W.J. Qi, and J.C. Lee Effects of interfacial layer growth on the electrical characteristics of thin titanium oxide films on silicon Appl Phys Lett 74 1999 3143 3145 (Pubitemid 129306708)
-
(1999)
Applied Physics Letters
, vol.74
, Issue.21
, pp. 3143-3145
-
-
Lee, B.H.1
Jeon, Y.2
Zawadzki, K.3
Qi, W.-J.4
Lee, J.5
-
63
-
-
23444438091
-
Structural, interfacial and optical characterization of ultrathin zirconia film grown by in situ thermal oxidation of sputtered metallic Zr films
-
DOI 10.1088/0957-4484/16/9/040, PII S0957448405887124
-
G. He, J.X. Zhang, L.Q. Zhu, M. Liu, Q. Fang, and L.D. Zhang Structural, interfacial and optical characterization of ultrathin Zirconia film grown by in situ thermal oxidation of sputtered metallic Zr films Nanotechnology 16 2005 1641 1647 (Pubitemid 41107825)
-
(2005)
Nanotechnology
, vol.16
, Issue.9
, pp. 1641-1647
-
-
He, G.1
Fang, Q.2
Zhang, J.X.3
Zhu, L.Q.4
Liu, M.5
Zhang, L.D.6
-
64
-
-
27944470896
-
Microstructure and optical properties of ultra-thin zirconia films prepared by nitrogen-assisted reactive magnetron sputtering
-
DOI 10.1088/0957-4484/16/12/022, PII S0957448405041838
-
L.Q. Zhu, Q. Fang, G. He, M. Liu, and L.D. Zhang Microstructure and optical properties of ultra thin Zirconia films prepared by nitrogen assisted reactive magnetron sputtering Nanotechnology 6 2005 2865 2869 (Pubitemid 41677043)
-
(2005)
Nanotechnology
, vol.16
, Issue.12
, pp. 2865-2869
-
-
Zhu, L.Q.1
Fang, Q.2
He, G.3
Liu, M.4
Zhang, L.D.5
-
65
-
-
79952453300
-
Characteristics of Hf-silicate interfacial layers formed by wet etching
-
J.K. Park, E.T. Lee, B.K. Kim, S.W. Jeong, and Y.H. Roh Characteristics of Hf-silicate interfacial layers formed by wet etching J Korean Phys Soc 55 2009 1022 1025
-
(2009)
J Korean Phys Soc
, vol.55
, pp. 1022-1025
-
-
Park, J.K.1
Lee, E.T.2
Kim, B.K.3
Jeong, S.W.4
Roh, Y.H.5
-
66
-
-
70350724181
-
Dielectric and optical properties of Zr silicate thin films grown on Si(1 0 0) by atomic layer deposition
-
D. Tahir, E.K. Lee, S.K. Oh, H.J. Kang, S. Heo, and J.G. Chung Dielectric and optical properties of Zr silicate thin films grown on Si(1 0 0) by atomic layer deposition J Appl Phys 106 2009 084108
-
(2009)
J Appl Phys
, vol.106
, pp. 084108
-
-
Tahir, D.1
Lee, E.K.2
Oh, S.K.3
Kang, H.J.4
Heo, S.5
Chung, J.G.6
-
67
-
-
33748100821
-
High performance gate first HfSiON dielectric satisfying 45 nm node requirements
-
M.A. Quevedo-Lopez, S.A. Krishnan, P.D. Kirsch, H.J. Li, J.H. Sim, and C. Huffman High performance gate first HfSiON dielectric satisfying 45 nm node requirements IEDM Tech Dig 2005 438 441
-
(2005)
IEDM Tech Dig
, pp. 438-441
-
-
Quevedo-Lopez, M.A.1
Krishnan, S.A.2
Kirsch, P.D.3
Li, H.J.4
Sim, J.H.5
Huffman, C.6
-
68
-
-
0032669575
-
Characterization and production metrology of thin transistor gate oxide films
-
A.C. Diebolda, D. Venablesb, Y. Chabalc, D. Mullerc, M. Weldonc, and E. Garfunkeld Characterization and production metrology of thin transistor gate oxide films Mater Sci Semicond Process 2 1999 103 147
-
(1999)
Mater Sci Semicond Process
, vol.2
, pp. 103-147
-
-
Diebolda, A.C.1
Venablesb, D.2
Chabalc, Y.3
Mullerc, D.4
Weldonc, M.5
Garfunkeld, E.6
-
69
-
-
0036501102
-
Materials characterization of alternative gate dielectrics
-
B.W. Busch, O. Pluchery, Y.J. Chabal, D.A. Muller, R.L. Opila, and J.R. Kwo Materials characterization of alternative gate dielectrics MRS Bulletin 27 2002 206 211 (Pubitemid 34271854)
-
(2002)
MRS Bulletin
, vol.27
, Issue.3
, pp. 206-211
-
-
Busch, B.W.1
Pluchery, O.2
Chabal, Y.J.3
Muller, D.A.4
Opila, R.L.5
Kwo, J.R.6
Garfunkel, E.7
-
72
-
-
42349100138
-
Inversion mode n-channel GaAs field effect transistor with high-k/metal gate
-
J.P.D. Souza, E. Kiewra, Y. Sun, A. Callegari, D.K. Sadana, and ShahidiG Inversion mode n-channel GaAs field effect transistor with high-k/metal gate Appl Phys Lett 92 2008 153508
-
(2008)
Appl Phys Lett
, vol.92
, pp. 153508
-
-
Souza, J.P.D.1
Kiewra, E.2
Sun, Y.3
Callegari, A.4
Sadana, D.K.5
Shahidi, G.6
-
74
-
-
0242583886
-
Atomic layer deposition of transition metals
-
B.S. Lim, A. Rahtu, and R.G. Gordon Atomic layer deposition of transition metals Nat Mater 2 2003 749 754
-
(2003)
Nat Mater
, vol.2
, pp. 749-754
-
-
Lim, B.S.1
Rahtu, A.2
Gordon, R.G.3
-
75
-
-
0037064190
-
Rapid vapor deposition of highly conformal silica nanolaminates
-
DOI 10.1126/science.1073552
-
D. Hausmann, J. Becker, S.L. Wang, and R.G. Gordon Rapid vapor deposition of highly conformal silica nanolaminates Science 298 2002 402 406 (Pubitemid 35189565)
-
(2002)
Science
, vol.298
, Issue.5592
, pp. 402-406
-
-
Hausmann, D.1
Becker, J.2
Wang, S.3
Gordon, R.G.4
-
80
-
-
0001760397
-
Ultrathin high-quality tantalum pentoxide films grown by photo-induced chemical vapor deposition
-
J.Y. Zhang, and I.W. Boyd Ultrathin high-quality tantalum pentoxide films grown by photo-induced chemical vapor deposition Appl Phys Lett 77 2000 3574 3576
-
(2000)
Appl Phys Lett
, vol.77
, pp. 3574-3576
-
-
Zhang, J.Y.1
Boyd, I.W.2
-
81
-
-
0035417524
-
Photo-induced growth of dielectrics with excimer lamps
-
DOI 10.1016/S0038-1101(00)00259-8, PII S0038110100002598
-
I.W. Boyd, and J.Y. Zhang Photo-induced growth of dielectrics with excimer lamps Solid State Electron 45 2001 1413 1431 (Pubitemid 32824923)
-
(2001)
Solid-State Electronics
, vol.45
, Issue.8
, pp. 1413-1431
-
-
Boyd, I.W.1
Zhang, J.-Y.2
-
82
-
-
34447339126
-
y dielectric films deposited by UV-photo-induced chemical vapour deposition (UV-CVD)
-
DOI 10.1016/j.apsusc.2007.02.150, PII S016943320700311X, Photon-Assisted Synthesis and Processing of Functional Materials
-
y dielectric films deposited by UV-photo-induced chemical vapour deposition (UV-CVD) Appl Sur Sci 253 2007 7869 7873 (Pubitemid 47058703)
-
(2007)
Applied Surface Science
, vol.253
, Issue.19
, pp. 7869-7873
-
-
Liu, M.1
Zhu, L.Q.2
He, G.3
Wang, Z.M.4
Wu, J.X.5
Zhang, J.-Y.6
Liaw, I.7
Fang, Q.8
Boyd, I.W.9
-
84
-
-
0345352831
-
High-k dielectrics by UV photo-assisted chemical vapour deposition
-
Q. Fang, J.Y. Zhang, Z.M. Wang, G. He, J. Yu, and I.W. Boyd High-k dielectrics by UV photo-assisted chemical vapour deposition Microelectron Eng 66 2003 621 630
-
(2003)
Microelectron Eng
, vol.66
, pp. 621-630
-
-
Fang, Q.1
Zhang, J.Y.2
Wang, Z.M.3
He, G.4
Yu, J.5
Boyd, I.W.6
-
86
-
-
21544444454
-
Stacked high-ε gate dielectric for gigascale integration of metal-oxide-semiconductor technologies
-
DOI 10.1063/1.121473, PII S0003695198002228
-
P.K. Roy, and I.C. Kizilyalli Stacked high-k gate dielectric for gigascale integration of metal-oxide-semiconductor technologies Appl Phys Lett 72 1998 2835 2837 (Pubitemid 128671614)
-
(1998)
Applied Physics Letters
, vol.72
, Issue.22
, pp. 2835-2837
-
-
Roy, P.K.1
Kizilyalli, I.C.2
-
87
-
-
79955983568
-
Integrity of hafnium silicate/silicon dioxide ultrathin films on Si
-
J. Morais, L. Miotti, G.V. Soares, S.R. Teixeira, R. Pezzi, and K.P. Bastos Integrity of hafnium silicate/silicon dioxide ultrathin films on Si Appl Phys Lett 81 2002 2995 2997
-
(2002)
Appl Phys Lett
, vol.81
, pp. 2995-2997
-
-
Morais, J.1
Miotti, L.2
Soares, G.V.3
Teixeira, S.R.4
Pezzi, R.5
Bastos, K.P.6
-
89
-
-
33746242371
-
3 nanolaminate films
-
DOI 10.1016/j.apsusc.2005.08.022, PII S0169433205011116
-
3 nanolaminate films Appl Surf Sci 252 2006 6206 6211 (Pubitemid 44093069)
-
(2006)
Applied Surface Science
, vol.252
, Issue.18
, pp. 6206-6211
-
-
Liu, M.1
He, G.2
Zhu, L.Q.3
Fang, Q.4
Li, G.H.5
Zhang, L.D.6
-
94
-
-
0348252355
-
Thermal stability and electrical properties of pulsed laser-deposited Hf-silicate thin films for high-k gate dielectric applications
-
J. Zhu, Z.G. Liu, and Y. Feng Thermal stability and electrical properties of pulsed laser-deposited Hf-silicate thin films for high-k gate dielectric applications J Phys D: Appl Phys 36 2003 3051 3056
-
(2003)
J Phys D: Appl Phys
, vol.36
, pp. 3051-3056
-
-
Zhu, J.1
Liu, Z.G.2
Feng, Y.3
-
95
-
-
13844257006
-
Thermal stability and electrical properties of pulsed laser deposited Hf-aluminate thin films for high-k: Gate dielectric applications
-
DOI 10.1088/0022-3727/38/3/014
-
J. Zhu, Z.G. Liu, and Y.R. Li Thermal stability and electrical properties of pulsed laser deposited Hf-aluminate thin films for high-k gate dielectric applications J Phys D: Appl Phys 38 2005 446 450 (Pubitemid 40253338)
-
(2005)
Journal of Physics D: Applied Physics
, vol.38
, Issue.3
, pp. 446-450
-
-
Zhu, J.1
Liu, Z.G.2
Li, Y.R.3
-
96
-
-
31144464490
-
2 gate insulators studied by photoemission spectroscopy and x-ray absorption spectroscopy
-
DOI 10.1116/1.2049300
-
2 gate insulators studied by photoemission spectroscopy and X-ray absorption spectroscopy J Vac Sci Technol A 23 2005 1554 1557 (Pubitemid 43123934)
-
(2005)
Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films
, vol.23
, Issue.6
, pp. 1554-1557
-
-
Okabayashi, J.1
Toyoda, S.2
Kumigashira, H.3
Oshima, M.4
Usuda, K.5
Niwa, M.6
Liu, G.L.7
-
97
-
-
2042515876
-
Depth-dependent imaging of individual dopant atoms in silicon
-
DOI 10.1017/S1431927604040012
-
P.M. Voyles, D.A. Muller, and E.J. Kirkland Depth-dependent imaging of individual dopant atoms in silicon Microsc Microanal 10 2004 291 300 (Pubitemid 38531952)
-
(2004)
Microscopy and Microanalysis
, vol.10
, Issue.2
, pp. 291-300
-
-
Voyles, P.M.1
Muller, D.A.2
Kirkland, E.J.3
-
98
-
-
24144449562
-
Three-dimensional imaging of individual hafnium atoms inside a semiconductor device
-
K.V. Benthem, A.W. Lupini, M. Kim, H.S. Baik, S. Doh, and J.H. Lee Three-dimensional imaging of individual hafnium atoms inside a semiconductor device Appl Phys Lett 87 2005 034104
-
(2005)
Appl Phys Lett
, vol.87
, pp. 034104
-
-
Benthem, K.V.1
Lupini, A.W.2
Kim, M.3
Baik, H.S.4
Doh, S.5
Lee, J.H.6
-
99
-
-
0037043685
-
Sub-angstrom resolution using aberration corrected electron optics
-
P.E. Batson, N. Dellby, and O.L. Krivanek Sub-angstrom resolution using aberration corrected electron optics Nature 418 2002 617 620
-
(2002)
Nature
, vol.418
, pp. 617-620
-
-
Batson, P.E.1
Dellby, N.2
Krivanek, O.L.3
-
100
-
-
14544299203
-
Characterization of advanced gate stacks for Si CMOS by electron energy-loss spectroscopy in scanning transmission electron microscopy
-
DOI 10.1016/j.elspec.2004.03.013, PII S0368204804004128
-
B. Foran, J. Barnett, P.S. Lysaght, M.P. Agustin, and S. Stemmer Characterization of advanced gate stacks for Si CMOS by electron energy loss spectroscopy in scanning transmission electron microscopy J Electron Spectrosc Related Phenomena 143 2005 149 158 (Pubitemid 40302811)
-
(2005)
Journal of Electron Spectroscopy and Related Phenomena
, vol.143
, Issue.2-3 SPEC. ISSUE
, pp. 149-158
-
-
Foran, B.1
Barnett, J.2
Lysaght, P.S.3
Agustin, M.P.4
Stemmer, S.5
-
101
-
-
0032516989
-
Intermixing at the tantalum oxide/silicon interface in gate dielectric structures
-
DOI 10.1063/1.122191, PII S0003695198046373
-
G.B. Alers, D.J. Werder, Y. Chabal, H.C. Lu, E.P. Gusev, and E. Garfunkel Intermixing at the tantalum oxide/silicon interface in gate dielectric structures Appl Phys Lett 73 1998 1517 1519 (Pubitemid 128671900)
-
(1998)
Applied Physics Letters
, vol.73
, Issue.11
, pp. 1517-1519
-
-
Alers, G.B.1
Werder, D.J.2
Chabal, Y.3
Lu, H.C.4
Gusev, E.P.5
Garfunkel, E.6
Gustafsson, T.7
Urdahl, R.S.8
-
106
-
-
1442305892
-
Carbon and Nitrogen Isotopic Anomalies in an Anhydrous Interplanetary Dust Particle
-
DOI 10.1126/science.1093283
-
C.J. Floss, F. Stadermann, J. Bradley, Z.R. Dai, S. Bajt, and G. Graham Carbon and nitrogen isotopic anomalies in an anhydrous interplanetary dust particle Science 303 2004 1355 1358 (Pubitemid 38269425)
-
(2004)
Science
, vol.303
, Issue.5662
, pp. 1355-1358
-
-
Floss, C.1
Stadermann, F.J.2
Bradley, J.3
Dai, Z.R.4
Bajt, S.5
Graham, G.6
-
107
-
-
77955740739
-
-
Fraunhofe Center Nanoelectronic Technologies
-
Fraunhofe Center Nanoelectronic Technologies. Annual Report; 2009.
-
(2009)
Annual Report
-
-
-
110
-
-
31144455203
-
Effect of thickness on the crystallization of ultrathin HfSiON gate dielectrics
-
G. Pant, A. Gnade, M.J. Kim, R.M. Wallace, B.E. Gnade, and M.A. Quevedo-Lopez Effect of thickness on the crystallization of ultrathin HfSiON gate dielectrics Appl Phys Lett 88 2006 032901
-
(2006)
Appl Phys Lett
, vol.88
, pp. 032901
-
-
Pant, G.1
Gnade, A.2
Kim, M.J.3
Wallace, R.M.4
Gnade, B.E.5
Quevedo-Lopez, M.A.6
-
115
-
-
59949100650
-
Gd silicate: A high-k dielectric compatible with high temperature annealing
-
H.D.B. Gottlob, M. Schmidt, M.C. Lemme, H. Kurz, I.Z. Mitrovic, and M. Werner Gd silicate: a high-k dielectric compatible with high temperature annealing J Vac Sci Technol B 27 2009 249 252
-
(2009)
J Vac Sci Technol B
, vol.27
, pp. 249-252
-
-
Gottlob, H.D.B.1
Schmidt, M.2
Lemme, M.C.3
Kurz, H.4
Mitrovic, I.Z.5
Werner, M.6
-
116
-
-
23844503318
-
Lanthanum silicate gate dielectric stacks with subnanometer equivalent oxide thickness utilizing an interfacial silica consumption reaction
-
D.J. Lichtenwalner, J.S. Jur, A.I. Kingon, M.P. Agustin, Y. Yang, and S. Stemmer Lanthanum silicate gate dielectric stacks with subnanometer equivalent oxide thickness utilizing an interfacial silica consumption reaction J Appl Phys 98 2005 024314
-
(2005)
J Appl Phys
, vol.98
, pp. 024314
-
-
Lichtenwalner, D.J.1
Jur, J.S.2
Kingon, A.I.3
Agustin, M.P.4
Yang, Y.5
Stemmer, S.6
-
118
-
-
33646430900
-
Gadolinium scandate thin films as an alternative gate dielectric prepared by electron beam evaporation
-
M. Wagner, T. Heeg, J. Schubert, S. Lenk, S. Mantl, and C. Zhao Gadolinium scandate thin films as an alternative gate dielectric prepared by electron beam evaporation Appl Phys Lett 88 2006 172901
-
(2006)
Appl Phys Lett
, vol.88
, pp. 172901
-
-
Wagner, M.1
Heeg, T.2
Schubert, J.3
Lenk, S.4
Mantl, S.5
Zhao, C.6
-
121
-
-
0000361018
-
Thermal stability and electrical characteristics of ultrathin hafnium oxide gate dielectric reoxidized with rapid thermal annealing
-
B.H. Lee, L. Kang, R. Nieh, W.J. Qi, and J.C. Lee Thermal stability and electrical characteristics of ultrathin hafnium oxide gate dielectric reoxidized with rapid thermal annealing Appl Phys Lett 76 2000 1926 1928
-
(2000)
Appl Phys Lett
, vol.76
, pp. 1926-1928
-
-
Lee, B.H.1
Kang, L.2
Nieh, R.3
Qi, W.J.4
Lee, J.C.5
-
123
-
-
0011720503
-
Wettability of transition metal oxide surfaces
-
PII S0921509397005273
-
A. Feng, B.J. Mccoy, Z.A. Munir, and D. Cagliosto Wettability of transition metal oxide surfaces Mater Sci Eng A 242 1998 50 56 (Pubitemid 128430860)
-
(1998)
Materials Science and Engineering A
, vol.242
, Issue.1-2
, pp. 50-56
-
-
Feng, A.1
McCoy, B.J.2
Munir, Z.A.3
Cagliostro, D.4
-
124
-
-
77953019624
-
High-k Hf-based layers grown by RF magnetron sputtering
-
L. Khomenkova, C. Dufour, P.E. Coulon, C. Bonafos, and F. Gourbilleau High-k Hf-based layers grown by RF magnetron sputtering Nanotechnology 21 2010 095704
-
(2010)
Nanotechnology
, vol.21
, pp. 095704
-
-
Khomenkova, L.1
Dufour, C.2
Coulon, P.E.3
Bonafos, C.4
Gourbilleau, F.5
-
126
-
-
56949088945
-
2 and HfSiO dielectrics prepared by radio frequency magnetron sputtering
-
2 and HfSiO dielectrics prepared by radio frequency magnetron sputtering Vacuum 83 2009 902 905
-
(2009)
Vacuum
, vol.83
, pp. 902-905
-
-
Feng, L.P.1
Liu, Z.T.2
Shen, Y.M.3
-
127
-
-
0035881403
-
2 binary oxides deposited by chemical solution deposition
-
DOI 10.1063/1.1382851
-
2 binary oxides deposited by chemical solution deposition J Appl Phys 90 2001 1801 1808 (Pubitemid 33611839)
-
(2001)
Journal of Applied Physics
, vol.90
, Issue.4
, pp. 1801-1808
-
-
Neumayer, D.A.1
Cartier, E.2
-
129
-
-
34247178425
-
2/p-Si interfaces at cryogenic temperatures
-
DOI 10.1016/j.microrel.2007.01.074, PII S0026271407000820
-
2/p-Si interfaces at cryogenic temperatures Microelectron Reliab 47 2007 726 728 (Pubitemid 46602436)
-
(2007)
Microelectronics Reliability
, vol.47
, Issue.4-5 SPEC. ISSUE
, pp. 726-728
-
-
Tyagulskyy, I.P.1
Osiyuk, I.N.2
Lysenko, V.S.3
Nazarov, A.N.4
Hall, S.5
Buiu, O.6
Lu, Y.7
Potter, R.8
Chalker, P.9
-
134
-
-
72049122412
-
Characterization of the interface between the Hf-based high-k thin film and the Si using spatially resolved electron energy-loss spectroscopy
-
X.F. Wang, Q. Li, P.F. Lee, J.Y. Dai, and X.G. Gong Characterization of the interface between the Hf-based high-k thin film and the Si using spatially resolved electron energy-loss spectroscopy Micron 41 2010 15 19
-
(2010)
Micron
, vol.41
, pp. 15-19
-
-
Wang, X.F.1
Li, Q.2
Lee, P.F.3
Dai, J.Y.4
Gong, X.G.5
-
135
-
-
77749255191
-
Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions
-
Y.L. Li, and Q.X. Xu Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions J Semicond 31 2010 036001
-
(2010)
J Semicond
, vol.31
, pp. 036001
-
-
Li, Y.L.1
Xu, Q.X.2
-
137
-
-
33846045196
-
y gate dielectric films
-
DOI 10.1016/j.mssp.2006.10.003, PII S1369800106002174, E-MRS 2006 Spring Meeting - Symposium L: Characterization of high-k dielectric materials
-
y gate dielectric films Mater Sci Semicon Proc 9 2006 870 875 (Pubitemid 46073836)
-
(2006)
Materials Science in Semiconductor Processing
, vol.9
, Issue.6
, pp. 870-875
-
-
He, G.1
Fang, Q.2
Zhang, L.D.3
-
139
-
-
0000436765
-
2: Crystalline structures and equations of state
-
2: crystalline structures and equations of state Phys Rev B 59 1999 8467 8472
-
(1999)
Phys Rev B
, vol.59
, pp. 8467-8472
-
-
Desgreniers, S.1
Lagarec, K.2
-
142
-
-
77957739365
-
FB) modulation using in situ Ti and Hf interposed in a metal/high-k gate stack
-
FB) modulation using in situ Ti and Hf interposed in a metal/high-k gate stack J Appl Phys 108 2010 064107
-
(2010)
J Appl Phys
, vol.108
, pp. 064107
-
-
Choi, C.W.1
Lee, J.C.2
-
146
-
-
36249012405
-
Temperature dependent structural stability and optical properties of ultrathin Hf-Al-O films grown by facing-target reactive sputtering
-
G. He, L.D. Zhang, G.W. Meng, G.H. Li, Q. Fang, and J.P. Zhang Temperature dependent structural stability and optical properties of ultrathin Hf-Al-O films grown by facing-target reactive sputtering J Appl Phys 102 2007 094103
-
(2007)
J Appl Phys
, vol.102
, pp. 094103
-
-
He, G.1
Zhang, L.D.2
Meng, G.W.3
Li, G.H.4
Fang, Q.5
Zhang, J.P.6
-
147
-
-
0018812038
-
Further examination of the Si KLL auger line in silicon nitride thin films
-
J.A. Taylor Further examination of the Si KLL auger line in silicon nitride thin films Appl Surf Sci 7 1981 168 184
-
(1981)
Appl Surf Sci
, vol.7
, pp. 168-184
-
-
Taylor, J.A.1
-
148
-
-
33845268615
-
A simple approach to reduce interfacial formation of sputtered Hf-based gate dielectrics by nitrogen incorporation
-
C.H. Lu, Y.S. Lai, and J.S. Chen A simple approach to reduce interfacial formation of sputtered Hf-based gate dielectrics by nitrogen incorporation ECS Trans 1 2006 425 430
-
(2006)
ECS Trans
, vol.1
, pp. 425-430
-
-
Lu, C.H.1
Lai, Y.S.2
Chen, J.S.3
-
149
-
-
0346534582
-
Hafnium and zirconium silicates for advanced gate dielectrics
-
G.D. Wilk, R.M. Wallace, and J.M. Anthony Hafnium and zirconium silicates for advanced gate dielectrics J Appl Phys 87 2000 484 492
-
(2000)
J Appl Phys
, vol.87
, pp. 484-492
-
-
Wilk, G.D.1
Wallace, R.M.2
Anthony, J.M.3
-
150
-
-
0035894001
-
Physical and electrical characterization of Hafnium oxide and Hafnium silicate sputtered films
-
A. Callegari, E. Cartier, M. Gribelyuk, H.F. Okorn-Schmidt, and T. Zabel Physical and electrical characterization of Hafnium oxide and Hafnium silicate sputtered films J Appl Phys 90 2001 6466 6475
-
(2001)
J Appl Phys
, vol.90
, pp. 6466-6475
-
-
Callegari, A.1
Cartier, E.2
Gribelyuk, M.3
Okorn-Schmidt, H.F.4
Zabel, T.5
-
151
-
-
2942568019
-
Low temperature UV/ozone oxidation formation of HfSiON gate dielectric
-
G. Pant, P. Punchaipetch, M.J. Kim, M. Wallace R, and B.E. Gnade Low temperature UV/ozone oxidation formation of HfSiON gate dielectric Thin Solid Films 460 2004 242 246
-
(2004)
Thin Solid Films
, vol.460
, pp. 242-246
-
-
Pant, G.1
Punchaipetch, P.2
Kim, M.J.3
Wallace, R.M.4
Gnade, B.E.5
-
152
-
-
0037415866
-
Hafnium silicate formation by ultra-violetyozone oxidation of hafnium silicide
-
P. Punchaipetch, G. Pant, M. Quevedo-Lopez, H. Zhang, M. El-Bouanani, and M.J. Kim Hafnium silicate formation by ultra-violetyozone oxidation of hafnium silicide Thin Solid Films 425 2003 68 71
-
(2003)
Thin Solid Films
, vol.425
, pp. 68-71
-
-
Punchaipetch, P.1
Pant, G.2
Quevedo-Lopez, M.3
Zhang, H.4
El-Bouanani, M.5
Kim, M.J.6
-
153
-
-
67650723793
-
Characteristics of high-quality HfSiON gate dielectric prepared by physical vapour deposition
-
G.B. Xu, and Q.X. Xu Characteristics of high-quality HfSiON gate dielectric prepared by physical vapour deposition Chin Phys B 18 2009 768 772
-
(2009)
Chin Phys B
, vol.18
, pp. 768-772
-
-
Xu, G.B.1
Xu, Q.X.2
-
154
-
-
3142639123
-
Effect of film composition of nitrogen incorporated hafnium aluminate (HfAlON) gate dielectric on structural transformation and electrical properties through high-temperature annealing
-
M. Koyama, Y. Kamimuta, M. Koike, M. Suzuki, and A. Nishiyam Effect of film composition of nitrogen incorporated hafnium aluminate (HfAlON) gate dielectric on structural transformation and electrical properties through high-temperature annealing Jpn J Appl Phys 43 2004 1788 1794
-
(2004)
Jpn J Appl Phys
, vol.43
, pp. 1788-1794
-
-
Koyama, M.1
Kamimuta, Y.2
Koike, M.3
Suzuki, M.4
Nishiyam, A.5
-
155
-
-
35248870050
-
Improved electrical properties of metal-oxide-semiconductor capacitor with HfTiON gate dielectric by using HfSiON interlayer
-
J.P. Xu, F. Ji, C.X. Li, P.T. Lai, J.G. Guan, and Y.R. Liu Improved electrical properties of metal-oxide-semiconductor capacitor with HfTiON gate dielectric by using HfSiON interlayer Appl Phys Lett 91 2007 152905
-
(2007)
Appl Phys Lett
, vol.91
, pp. 152905
-
-
Xu, J.P.1
Ji, F.2
Li, C.X.3
Lai, P.T.4
Guan, J.G.5
Liu, Y.R.6
-
156
-
-
19944400822
-
Determination of band alignment of hafnium silicon oxynitride/silicon (HfSiON/Si) structures using electron spectroscopy
-
DOI 10.1143/JJAP.44.1301
-
Y. Kamimuta, M. Koike, T. Ino, M. Suzuki, M. Koyama, and Y. Tsunashima Determination of band alignment of hafnium silicon oxynitride/silicon (HfSiON/Si) structures using electron spectroscopy Jpn J Appl Phys 44 2005 1301 1305 (Pubitemid 40749742)
-
(2005)
Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
, vol.44
, Issue.3
, pp. 1301-1305
-
-
Kamimuta, Y.1
Koike, M.2
Ino, T.3
Suzuki, M.4
Koyama, M.5
Tsunashima, Y.6
Nishiyama, A.7
-
157
-
-
58149214074
-
Study on characteristics of thermally stable HfLaON gate dielectric with TaN metal gate
-
Q.X. Xu, G.B. Xu, W.W. Wang, D.P. Chen, S.L. Shi, and Z.S. Han Study on characteristics of thermally stable HfLaON gate dielectric with TaN metal gate Appl Phys Lett 93 2008 252903
-
(2008)
Appl Phys Lett
, vol.93
, pp. 252903
-
-
Xu, Q.X.1
Xu, G.B.2
Wang, W.W.3
Chen, D.P.4
Shi, S.L.5
Han, Z.S.6
-
158
-
-
63049093048
-
Thermal stability of HfTaON films prepared by physical vapor deposition
-
G.B. Xu, and Q.X. Xu Thermal stability of HfTaON films prepared by physical vapor deposition J Semicond 30 2009 032002
-
(2009)
J Semicond
, vol.30
, pp. 032002
-
-
Xu, G.B.1
Xu, Q.X.2
-
161
-
-
67649616856
-
Structural and electrical properties of thin SrHfON films for high-k gate dielectric
-
L.P. Feng, and Z.T. Liu Structural and electrical properties of thin SrHfON films for high-k gate dielectric Appl Phys Lett 94 2009 252907
-
(2009)
Appl Phys Lett
, vol.94
, pp. 252907
-
-
Feng, L.P.1
Liu, Z.T.2
-
162
-
-
65749120234
-
2 high-k gate dielectric thin films by RF sputtering
-
2 high-k gate dielectric thin films by RF sputtering J Phys: Conf Ser 152 2009 012005
-
(2009)
J Phys: Conf ser
, vol.152
, pp. 012005
-
-
Ji, M.1
Wang, L.2
Du, J.3
-
164
-
-
0035854541
-
Visible-light photocatalysis in nitrogen-doped titanium oxides
-
DOI 10.1126/science.1061051
-
R. Asahi, T. Morikawa, T. Ohwaki, K. Aoki, and Y. Taga Visible- light photocatalysis in nitrogen-doped titanium oxides Science 293 2001 269 271 (Pubitemid 32694736)
-
(2001)
Science
, vol.293
, Issue.5528
, pp. 269-271
-
-
Asahi, R.1
Morikawa, T.2
Ohwaki, T.3
Aoki, K.4
Taga, Y.5
-
165
-
-
0942299982
-
Stability and band offsets of nitrogenated high-dielectric-constant gate oxides
-
G. Shang, P.W. Peacock, and J. Robertson Stability and band offsets of nitrogenated high-dielectric-constant gate oxides Appl Phys Lett 84 2004 106 108
-
(2004)
Appl Phys Lett
, vol.84
, pp. 106-108
-
-
Shang, G.1
Peacock, P.W.2
Robertson, J.3
-
166
-
-
0038735497
-
Hafnium nitride with thorium phosphide structure: Physical properties and an assessment of the Hf-N, Zr-N, and Ti-N phase diagrams at high pressures and temperatures
-
P. Kroll Hafnium nitride with thorium phosphide structure: physical properties and an assessment of the Hf-N, Zr-N, and Ti-N phase diagrams at high pressures and temperatures Phys Rev Lett 90 2003 125501
-
(2003)
Phys Rev Lett
, vol.90
, pp. 125501
-
-
Kroll, P.1
-
167
-
-
0036537434
-
Dielectric functions and optical bandgaps of high-K dielectrics for metal-oxide-semiconductor field-effect transistors by far ultraviolet spectroscopic ellipsometry
-
S.G. Lim, S. Kriventsov, T.N. Jackson, J.H. Haeni, D.G. Schlom, and A.M. Balbashov Dielectric functions and optical bandgaps of high-K dielectrics for metal-oxide-semiconductor field-effect transistors by far ultraviolet spectroscopic ellipsometry J Appl Phys 91 2002 4500 4505
-
(2002)
J Appl Phys
, vol.91
, pp. 4500-4505
-
-
Lim, S.G.1
Kriventsov, S.2
Jackson, T.N.3
Haeni, J.H.4
Schlom, D.G.5
Balbashov, A.M.6
-
169
-
-
41349122216
-
The effect of nitrogen concentration on the band gap
-
X.J. Wang, L.D. Zhang, M. Liu, J.P. Zhang, and G. He The effect of nitrogen concentration on the band gap Appl Phys Lett 92 2008 122901
-
(2008)
Appl Phys Lett
, vol.92
, pp. 122901
-
-
Wang, X.J.1
Zhang, L.D.2
Liu, M.3
Zhang, J.P.4
He, G.5
-
171
-
-
45849155131
-
Dielectric constants of Zr silicates: A first-principles study
-
G.M. Rignanese, F. Detraux, X. Gonze, A. Bongiorno, and A. Pasquarello Dielectric constants of Zr silicates: a first-principles study Phys Rev Lett 89 2002 117601
-
(2002)
Phys Rev Lett
, vol.89
, pp. 117601
-
-
Rignanese, G.M.1
Detraux, F.2
Gonze, X.3
Bongiorno, A.4
Pasquarello, A.5
-
172
-
-
4243672394
-
Theoretical evaluation of zirconia and hafnia as gate oxides for Si microelectronics
-
V. Fiorentini, and G. Gulleri Theoretical evaluation of zirconia and hafnia as gate oxides for Si microelectronics Phys Rev Lett 89 2002 266101
-
(2002)
Phys Rev Lett
, vol.89
, pp. 266101
-
-
Fiorentini, V.1
Gulleri, G.2
-
173
-
-
33645468490
-
Dielectric properties of noncrystalline HfSiON
-
M. Koike, T. Ino, Y. Kamimuta, M. Koyama, Y. Kamata, and M. Suzuki Dielectric properties of noncrystalline HfSiON Phys Rev B 73 2006 125123
-
(2006)
Phys Rev B
, vol.73
, pp. 125123
-
-
Koike, M.1
Ino, T.2
Kamimuta, Y.3
Koyama, M.4
Kamata, Y.5
Suzuki, M.6
-
176
-
-
0035452393
-
Atomic layer deposition of zirconium oxide from zirconium tetraiodide, water and hydrogen peroxide
-
DOI 10.1016/S0022-0248(01)01449-X, PII S002202480101449X
-
K. Kukli, K. Forsgren, J. Aarik, T. Uustare, A. Aidla, and A. Niskanen Atomic layer deposition of zirconium oxide from zirconium tetraiodide, water and hydrogen peroxide J Cryst Growth 231 2001 262 272 (Pubitemid 32698461)
-
(2001)
Journal of Crystal Growth
, vol.231
, Issue.1-2
, pp. 262-272
-
-
Kukli, K.1
Forsgren, K.2
Aarik, J.3
Uustare, T.4
Aidla, A.5
Niskanen, A.6
Ritala, M.7
Leskela, M.8
Harsta, A.9
-
177
-
-
33845446722
-
2 thin films grown by chloride-based atomic layer deposition
-
DOI 10.1016/j.apsusc.2005.12.133, PII S0169433205017952
-
2 thin films grown by chloride-based atomic layer deposition Appl Surf Sci 253 2006 606 617 (Pubitemid 44894183)
-
(2006)
Applied Surface Science
, vol.253
, Issue.2
, pp. 606-617
-
-
Mitchell, D.R.G.1
Aidla, A.2
Aarik, J.3
-
179
-
-
19944412496
-
Investigating physical and chemical changes in high-k gate stacks using nanoanalytical electron microscopy
-
DOI 10.1016/j.mee.2005.04.048, PII S0167931705001875, 14th Biennial Conference on Insulating Films on Semiconductors
-
A.J. Craven, M. MacKenzie, D.W. McComb, and F.T. Docherty Investigating physical and chemical changes in high-k gate stacks using nanoanalytical electron microscopy Microelectron Eng 80 2005 90 97 (Pubitemid 40753055)
-
(2005)
Microelectronic Engineering
, vol.80
, Issue.SUPPL.
, pp. 90-97
-
-
Craven, A.J.1
MacKenzie, M.2
McComb, D.W.3
Docherty, F.T.4
-
180
-
-
0037255353
-
Short-circuit diffusion in ceramics
-
J.H. Harding Short-circuit diffusion in ceramics Interface Sci 11 2003 81 90
-
(2003)
Interface Sci
, vol.11
, pp. 81-90
-
-
Harding, J.H.1
-
186
-
-
36249012405
-
Temperature-dependent structural stability and optical properties of ultrathin Hf-Al-O films grown by facing-target reactive sputtering
-
G. He, L.D. Zhang, G.W. Meng, G.H. Li, Q. Fang, and J.P. Zhang Temperature-dependent structural stability and optical properties of ultrathin Hf-Al-O films grown by facing-target reactive sputtering J Appl Phys 102 2007 094103
-
(2007)
J Appl Phys
, vol.102
, pp. 094103
-
-
He, G.1
Zhang, L.D.2
Meng, G.W.3
Li, G.H.4
Fang, Q.5
Zhang, J.P.6
-
187
-
-
33746288039
-
Comparison of electrical and chemical characteristics of ultrathin HfON versus HfSiON dielectrics
-
G. Pant, A. Gnade, M.J. Kim, R.M. Wallace, B.E. Gnade, and M.A. Quevedo-Lopez Comparison of electrical and chemical characteristics of ultrathin HfON versus HfSiON dielectrics Appl Phys Lett 89 2006 032904
-
(2006)
Appl Phys Lett
, vol.89
, pp. 032904
-
-
Pant, G.1
Gnade, A.2
Kim, M.J.3
Wallace, R.M.4
Gnade, B.E.5
Quevedo-Lopez, M.A.6
-
191
-
-
2542443543
-
Electron energy-loss spectroscopy analysis of the electronic structure of nitrided Hf silicate films
-
N. Ikarashi, M. Miyamura, K. Masuzaki, and T. Tatsumi Electron energy-loss spectroscopy analysis of the electronic structure of nitrided Hf silicate films Appl Phys Lett 84 2004 3672 3674
-
(2004)
Appl Phys Lett
, vol.84
, pp. 3672-3674
-
-
Ikarashi, N.1
Miyamura, M.2
Masuzaki, K.3
Tatsumi, T.4
-
192
-
-
12844269838
-
y gate dielectrics
-
DOI 10.1143/JJAP.43.7815, Dielectric Thin Films for Future ULSI Devices
-
ygate dielectrics Jpn J Appl Phys 43 2004 7815 7820 (Pubitemid 40169144)
-
(2004)
Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
, vol.43
, Issue.11 B
, pp. 7815-7820
-
-
Punchaipetch, P.1
Okamoto, T.2
Nakamura, H.3
Uraoka, Y.4
Fuyuki, T.5
Horii, S.6
-
193
-
-
31044438318
-
Optical band gaps and composition dependence of hafnium-aluminate thin films grown by atomic layer chemical vapor deposition
-
DOI 10.1116/1.2091096
-
N.V. Nguyen, S. Sayan, I. Levin, J.R. Ehrstein, I.J.R. Baumvol, and C. Driemeier Optical band gaps and composition dependence of hafnium-aluminate thin films grown by atomic layer chemical vapor deposition J Vac Sci Technol A 23 2005 1706 1713 (Pubitemid 43119407)
-
(2005)
Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films
, vol.23
, Issue.6
, pp. 1706-1713
-
-
Nguyen, N.V.1
Sayan, S.2
Levin, I.3
Ehrstein, J.R.4
Baumvol, I.J.R.5
Driemeier, C.6
Krug, C.7
Wielunski, L.8
Hung, P.Y.9
Diebold, A.10
-
194
-
-
33846582951
-
Physical and electrical properties of lanthanum oxide dielectrics with Al and Al/TaN metal gates
-
T.M. Pan, C.L. Chen, W.W. Yeh, and W.J. Lai Physical and electrical properties of lanthanum oxide dielectrics with Al and Al/TaN metal gates Electrochem Solid-State Lett 10 2007 H101 H103
-
(2007)
Electrochem Solid-State Lett
, vol.10
-
-
Pan, T.M.1
Chen, C.L.2
Yeh, W.W.3
Lai, W.J.4
-
196
-
-
33847743021
-
Influence of oxygen content on the structural and electrical characteristics of thin neodymium oxide gate dielectrics
-
T.M. Pan, J.D. Lee, and W.W. Yeh Influence of oxygen content on the structural and electrical characteristics of thin neodymium oxide gate dielectrics J Appl Phys 101 2007 024110
-
(2007)
J Appl Phys
, vol.101
, pp. 024110
-
-
Pan, T.M.1
Lee, J.D.2
Yeh, W.W.3
-
197
-
-
34548267262
-
Electrical properties of atomic-layer-deposited thin gadolinium oxide high-k gate dielectrics
-
S. Duenas, H. Castan, H. Garcia, A. Gomez, L. Bailon, and K. Kukli Electrical properties of atomic-layer-deposited thin gadolinium oxide high-k gate dielectrics J Electrochem Soc 154 2007 G207 G214
-
(2007)
J Electrochem Soc
, vol.154
-
-
Duenas, S.1
Castan, H.2
Garcia, H.3
Gomez, A.4
Bailon, L.5
Kukli, K.6
-
199
-
-
33846873458
-
3/Si(0 0 1) heterostructures grown by molecular beam epitaxy using a high temperature effusion source
-
DOI 10.1088/0268-1242/21/12/009, PII S0268124206289120, 009
-
3/Si(0 0 1) heterostructures grown by molecular beam epitaxy using a high temperature effusion source Semicond Sci Technol 21 2006 1552 1556 (Pubitemid 46222400)
-
(2006)
Semiconductor Science and Technology
, vol.21
, Issue.12
, pp. 1552-1556
-
-
Tinkham, B.P.1
Takahasi, M.2
Jenichen, B.3
Watahiki, T.4
Braun, W.5
Ploog, K.H.6
-
200
-
-
15444373652
-
Recent developments in the MOCVD and ALD of rare earth oxides and silicates
-
A.C. Jones, H.C. Aspinall, P.R. Chalker, R.J. Potter, K. Kukli, and A. Rahtu Recent developments in the MOCVD and ALD of rare earth oxides and silicates Mater Sci Eng B 118 2005 97 114
-
(2005)
Mater Sci Eng B
, vol.118
, pp. 97-114
-
-
Jones, A.C.1
Aspinall, H.C.2
Chalker, P.R.3
Potter, R.J.4
Kukli, K.5
Rahtu, A.6
-
201
-
-
33744829792
-
Rare-earth oxide thin films for gate dielectrics in microelectronics
-
DOI 10.1016/j.jallcom.2005.10.061, PII S0925838805016804
-
M. LeskelÄa, K. Kukli, and M. Ritala Rare-earth oxide thin films for gate dielectrics in microelectronics J Alloys Compd 418 2006 27 34 (Pubitemid 43832098)
-
(2006)
Journal of Alloys and Compounds
, vol.418
, Issue.1-2
, pp. 27-34
-
-
Leskela, M.1
Kukli, K.2
Ritala, M.3
-
202
-
-
0035309756
-
3 for Si
-
DOI 10.1063/1.1352688
-
3 for Si J Appl Phys 89 2001 3920 3927 (Pubitemid 33732493)
-
(2001)
Journal of Applied Physics
, vol.89
, Issue.7
, pp. 3920-3927
-
-
Kwo, J.1
Hong, M.2
Kortan, A.R.3
Queeney, K.L.4
Chabal, Y.J.5
Opila Jr., R.L.6
Muller, D.A.7
Chu, S.N.G.8
Sapjeta, B.J.9
Lay, T.S.10
Mannaerts, J.P.11
Boone, T.12
Krautter, H.W.13
Krajewski, J.J.14
Sergnt, A.M.15
Rosamilia, J.M.16
-
203
-
-
0035828615
-
3 gate oxides with Si
-
DOI 10.1063/1.1406989
-
3 gate oxides with Si Appl Phys Lett 79 2001 2447 2449 (Pubitemid 33607351)
-
(2001)
Applied Physics Letters
, vol.79
, Issue.15
, pp. 2447-2449
-
-
Busch, B.W.1
Kwo, J.2
Hong, M.3
Mannaerts, J.P.4
Sapjeta, B.J.5
Schulte, W.H.6
Garfunkel, E.7
Gustafsson, T.8
-
207
-
-
0042099398
-
The binary rare earth oxides
-
G. Adachi, and N. Imanaka The binary rare earth oxides Chem Rev 98 1998 1479 1514 (Pubitemid 128635961)
-
(1998)
Chemical Reviews
, vol.98
, Issue.4
, pp. 1479-1514
-
-
Adachi, G.-Y.1
Imanaka, N.2
-
209
-
-
20244386274
-
Ternary rare-earth metal oxide high-k layers on silicon oxide
-
C. Zhao, T. Witters, B. Brijs, H. Bender, O. Richard, and M. Caymax Ternary rare-earth metal oxide high-k layers on silicon oxide Appl Phys Lett 86 2005 132903
-
(2005)
Appl Phys Lett
, vol.86
, pp. 132903
-
-
Zhao, C.1
Witters, T.2
Brijs, B.3
Bender, H.4
Richard, O.5
Caymax, M.6
-
210
-
-
0035915288
-
Epitaxial growth of praseodymium oxide on silicon
-
DOI 10.1016/S0921-5107(01)00728-0, PII S0921510701007280
-
H.J. Osten, J.P. Liu, E. Bugiel, H.J. Mussig, and P. Zaumseil Epitaxial growth of praseodymium oxide on silicon Mater Sci Eng B 87 2001 297 302 (Pubitemid 32998006)
-
(2001)
Materials Science and Engineering B: Solid-State Materials for Advanced Technology
, vol.87
, Issue.3
, pp. 297-302
-
-
Osten, H.J.1
Liu, J.P.2
Bugiel, E.3
Mussig, H.J.4
Zaumseil, P.5
-
211
-
-
54949135456
-
Introducing crystalline rare-earth oxides into Si technologies
-
H.J. Osten, A. Laha, M. Czernohorsky, E. Bugiel, R. Dargis, and A. Fissel Introducing crystalline rare-earth oxides into Si technologies Phys Status Solidi (a) 205 2008 695 707
-
(2008)
Phys Status Solidi (A)
, vol.205
, pp. 695-707
-
-
Osten, H.J.1
Laha, A.2
Czernohorsky, M.3
Bugiel, E.4
Dargis, R.5
Fissel, A.6
-
212
-
-
34247542508
-
Epitaxial multi-component rare earth oxide for high-k application
-
A. Laha, A. Fissel, E. Bugiel, and H.J. Osten Epitaxial multi-component rare earth oxide for high-k application Thin Solid Films 515 2005 6512 6517
-
(2005)
Thin Solid Films
, vol.515
, pp. 6512-6517
-
-
Laha, A.1
Fissel, A.2
Bugiel, E.3
Osten, H.J.4
-
213
-
-
0036502104
-
A thermodynamic approach to selecting alternative gate dielectrics
-
D.G. Schlom, and J.H. Haeni A thermodynamic approach to selecting alternative gate dielectrics MRS Bull 27 2002 198 203
-
(2002)
MRS Bull
, vol.27
, pp. 198-203
-
-
Schlom, D.G.1
Haeni, J.H.2
-
214
-
-
32944473984
-
3/(Ba, Sr)O stacks epitaxially grown on Si
-
3/(Ba, Sr)O stacks epitaxially grown on Si Appl Phys Lett 88 2006 072913
-
(2006)
Appl Phys Lett
, vol.88
, pp. 072913
-
-
Marchiori, C.1
Sousa, M.2
Guiller, A.3
Siegwart, H.4
Locquet, J.P.5
Fompeyrine, J.6
-
215
-
-
33646718968
-
3 on (Ba, Sr)O/Si(1 0 0): The relationship between oxygen stoichiometry and interface stability
-
3 on (Ba, Sr)O/Si(1 0 0): the relationship between oxygen stoichiometry and interface stability J Appl Phys 99 2006 084102
-
(2006)
J Appl Phys
, vol.99
, pp. 084102
-
-
Norga, G.J.1
Marchiori, C.2
Rossel, C.3
Guiller, A.4
Locquet, J.P.5
Siegwart, H.6
-
216
-
-
0346458533
-
The interface between silicon and a high-k oxide
-
DOI 10.1038/nature02204
-
C.J. Forst, C.R. Ashman, K. Schwarz, and P.E. Bloch The interface between silicon and a high-k oxide Nature 427 2004 53 56 (Pubitemid 38094817)
-
(2004)
Nature
, vol.427
, Issue.6969
, pp. 53-56
-
-
Forst, C.J.1
Ashman, C.R.2
Schwarz, K.3
Blochl, P.E.4
-
217
-
-
0035915294
-
Development of high dielectric constant epitaxial oxides on silicon by molecular beam epitaxy
-
DOI 10.1016/S0921-5107(01)00727-9, PII S0921510701007279
-
R. Droopad, Z. Yu, J. Ramdani, L. Hilt, J.A. Curless, and C.D. Overgaard Development of high dielectric constant epitaxial oxides on silicon by molecular beam epitaxy Mater Sci Eng B 87 2001 292 296 (Pubitemid 32998005)
-
(2001)
Materials Science and Engineering B: Solid-State Materials for Advanced Technology
, vol.87
, Issue.3
, pp. 292-296
-
-
Droopad, R.1
Yu, Z.2
Ramdani, J.3
Hilt, L.4
Curless, J.5
Overgaard, C.6
Edwards Jr., J.L.7
Finder, J.8
Eisenbeiser, K.9
Ooms, W.10
-
219
-
-
0242523727
-
-
X. Zhang, A.A. Demkov, H. Li, X. Hu, Y. Wei, and J. Kulik Phys Rev B 68 2003 125323
-
(2003)
Phys Rev B
, vol.68
, pp. 125323
-
-
Zhang, X.1
Demkov, A.A.2
Li, H.3
Hu, X.4
Wei, Y.5
Kulik, J.6
-
220
-
-
0038341908
-
Two-dimensional growth of high-quality strontium titanate thin films on Si
-
H. Li, X. Hu, Y. Wei, Z. Yu, X. Zhang, and R. Droopad Two-dimensional growth of high-quality strontium titanate thin films on Si J Appl Phys 93 2003 4521 4525
-
(2003)
J Appl Phys
, vol.93
, pp. 4521-4525
-
-
Li, H.1
Hu, X.2
Wei, Y.3
Yu, Z.4
Zhang, X.5
Droopad, R.6
-
221
-
-
0035556365
-
Gate stack and silicide issues in silicon processing II
-
R. Liu, S. Zollner, P. Fejes, R. Gregory, S. Lu, and K. Reid Gate stack and silicide issues in silicon processing II Mater Res Soc Symp Proc 670 2001 K1.1
-
(2001)
Mater Res Soc Symp Proc
, vol.670
, pp. 11
-
-
Liu, R.1
Zollner, S.2
Fejes, P.3
Gregory, R.4
Lu, S.5
Reid, K.6
-
223
-
-
0346361791
-
-
X.B. Lu, Z.G. Liu, X. Zhang, R. Huang, H.W. Zhou, and X.P. Wang J Phys D: Appl Phys 36 2003 3047 3050
-
(2003)
J Phys D: Appl Phys
, vol.36
, pp. 3047-3050
-
-
Lu, X.B.1
Liu, Z.G.2
Zhang, X.3
Huang, R.4
Zhou, H.W.5
Wang, X.P.6
-
224
-
-
24644465041
-
3/Si(1 0 0) films with high-k, low-leakage current and good thermal stability
-
3/Si(1 0 0) films with high-k, low-leakage current and good thermal stability Chin Phys Lett 22 2005 182 184
-
(2005)
Chin Phys Lett
, vol.22
, pp. 182-184
-
-
Xiang, W.F.1
Lu, H.B.2
Chen, B.H.3
He, M.4
Lu, X.B.5
Liu, L.F.6
-
226
-
-
67549112944
-
Challenges in atomic-scale characterization of high-k dielectrics and metal gate electrodes for advanced CMOS gate stacks
-
X.H. Zhu, J.M. Zhu, A.D. Li, Z.G. Liu, and N.B. Ming Challenges in atomic-scale characterization of high-k dielectrics and metal gate electrodes for advanced CMOS gate stacks J Mater Sci Technol 25 2009 289 313
-
(2009)
J Mater Sci Technol
, vol.25
, pp. 289-313
-
-
Zhu, X.H.1
Zhu, J.M.2
Li, A.D.3
Liu, Z.G.4
Ming, N.B.5
-
228
-
-
0141649587
-
Fermi level pinning at the PolySi/metal oxide interface
-
C. Hobbs, L. Fonseca, V. Dhandapani, S. Samavedam, B. Taylor, and J. Grant Fermi level pinning at the PolySi/metal oxide interface IEEE Symp VLSI Tech Dig 2003 9 10
-
(2003)
IEEE Symp VLSI Tech Dig
, pp. 9-10
-
-
Hobbs, C.1
Fonseca, L.2
Dhandapani, V.3
Samavedam, S.4
Taylor, B.5
Grant, J.6
-
229
-
-
0242661903
-
Effect of polysilicon depletion charge on electron mobility in ultrathin oxide MOSFETs
-
F. Gámiz, A. Godoy, J.B. Roldán, J.E. Carceller, and P. Cartujo Effect of polysilicon depletion charge on electron mobility in ultrathin oxide MOSFETs Semicon Sci Technol 18 2003 927 937
-
(2003)
Semicon Sci Technol
, vol.18
, pp. 927-937
-
-
Gámiz, F.1
Godoy, A.2
Roldán, J.B.3
Carceller, J.E.4
Cartujo, P.5
-
230
-
-
0035504954
-
Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: The role of remote phonon scattering
-
M.V. Fischetti, D.A. Neumayer, and E.A. Cartier Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: the role of remote phonon scattering J Appl Phys 90 2001 4587 4588
-
(2001)
J Appl Phys
, vol.90
, pp. 4587-4588
-
-
Fischetti, M.V.1
Neumayer, D.A.2
Cartier, E.A.3
-
232
-
-
0035716168
-
Ultrathin high-K gate stacks for advanced CMOS devices
-
E.P. Gusev, D.A. Buchanan, E. Cartier, A. Kumar, D. Dimaria, and S. Guha Ultrathin high-k gate stacks for advanced CMOS devices IEEE IEDM Tech Dig 2001 451 454 (Pubitemid 34170689)
-
(2001)
Technical Digest - International Electron Devices Meeting
, pp. 451-454
-
-
Gusev, E.P.1
Buchanan, D.A.2
Cartier, E.3
Kumar, A.4
DiMaria, D.5
Guha, S.6
Callegari, A.7
Zafar, S.8
Jamison, P.C.9
Neumayer, D.A.10
Copel, M.11
Gribelyuk, M.A.12
Okorn-Schmidt, H.13
D'Emic, C.14
Kozlowski, P.15
Chan, K.16
Bojarczuk, N.17
Ragnarsson, L.-A.18
Ronsheim, P.19
Rim, K.20
Fleming, R.J.21
Mocuta, A.22
Ajmera, A.23
more..
-
233
-
-
0036541338
-
Gate length dependent polysilicon depletion effects
-
DOI 10.1109/55.992846, PII S0741310602033530
-
C.H. Choi, P.R. Chidambaram, R. Khamankar, C.F. Machala, Z. Yu, and R.W. Dutton Gate length dependent polysilicon depletion effects IEEE Electron Dev Lett 23 2002 224 226 (Pubitemid 34504510)
-
(2002)
IEEE Electron Device Letters
, vol.23
, Issue.4
, pp. 224-226
-
-
Choi, C.-H.1
Chidambaram, P.R.2
Khamankar, R.3
Machala, C.F.4
Yu, Z.5
Dutton, R.W.6
-
234
-
-
0029306016
-
Modeling the polysilicon depletion effect and its impact on submicrometer CMOS circuit performance
-
N.D. Arora, R. Rios, and C.L. Huang Modeling the polysilicon depletion effect and its impact on submicrometer CMOS circuit performance IEEE Trans Electron Dev 42 1995 935 943
-
(1995)
IEEE Trans Electron Dev
, vol.42
, pp. 935-943
-
-
Arora, N.D.1
Rios, R.2
Huang, C.L.3
-
235
-
-
0028419315
-
An analytic polysilicon depletion effect model for MOSFET's
-
R. Rios, N.D. Arora, and C.L. Huang An analytic polysilicon depletion effect model for MOSFET's IEEE Electron Dev Lett 15 1994 129 131
-
(1994)
IEEE Electron Dev Lett
, vol.15
, pp. 129-131
-
-
Rios, R.1
Arora, N.D.2
Huang, C.L.3
-
237
-
-
34547907156
-
Novel laser annealing process for advanced complementary metal oxide semiconductor devices with suppressed polycrystalline silicon gate depletion and ultra shallow junctions
-
DOI 10.1143/JJAP.46.1841, Solid State Devices and Materials
-
A. Shima, T. Mine, and K. Torii Novel laser annealing process for advanced complementary metal-oxide-semiconductor devices with suppressed polycrystalline silicon gate depletion and ultra shallow junctions Jpn J Appl Phys 46 2007 1841 1847 (Pubitemid 47256681)
-
(2007)
Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
, vol.46
, Issue.4 B
, pp. 1841-1847
-
-
Shima, A.1
Mine, T.2
Torii, K.3
-
238
-
-
17644419304
-
Elimination of poly-Si gate depletion for sub-65-nm CMOS technologies by eexcimer laser annealing
-
H.Y. Wong, H. Takeuchi, T.-J. King, M. Ameen, and A. Agarwal Elimination of poly-Si gate depletion for sub-65-nm CMOS technologies by eexcimer laser annealing IEEE Electron Dev Lett 26 2005 234 246
-
(2005)
IEEE Electron Dev Lett
, vol.26
, pp. 234-246
-
-
Wong, H.Y.1
Takeuchi, H.2
King, T.-J.3
Ameen, M.4
Agarwal, A.5
-
239
-
-
5744241682
-
Reduced poly-Si gate depletion effect by pulsed excimer laser annealing
-
Wong HY, Takeuchi H, King T-J, Ameen M, Agarwal A. Reduced poly-Si gate depletion effect by pulsed excimer laser annealing. Proc Adv Short-Time Thermal Process Si-Based CMOS Devices II 2004;205-15.
-
(2004)
Proc Adv Short-Time Thermal Process Si-Based CMOS Devices II
, pp. 205-215
-
-
Wong, H.Y.1
Takeuchi, H.2
King, T.-J.3
Ameen, M.4
Agarwal, A.5
-
241
-
-
0036045975
-
Low standby power CMOS with HfO2 gate oxide for 100-nm generation
-
S. Pidin, Y. Morisaki, Y. Sugita, T. Aoyama, K. Irino, and T. Nakamura Low standby power CMOS with HfO2 gate oxide for 100-nm generation IEEE Symp VLSI Tech Dig 2002 28 29
-
(2002)
IEEE Symp VLSI Tech Dig
, pp. 28-29
-
-
Pidin, S.1
Morisaki, Y.2
Sugita, Y.3
Aoyama, T.4
Irino, K.5
Nakamura, T.6
-
243
-
-
0036928983
-
Effects of nitroge in HfSiON gate dielectric on the electrical and thermal characteristics
-
M. Koyama, A. Kaneko, T. Ino, M. Koike, Y. Kamata, and R. Iijima Effects of nitroge in HfSiON gate dielectric on the electrical and thermal characteristics IEEE IEDM Tech Dig 2002 849 852
-
(2002)
IEEE IEDM Tech Dig
, pp. 849-852
-
-
Koyama, M.1
Kaneko, A.2
Ino, T.3
Koike, M.4
Kamata, Y.5
Iijima, R.6
-
244
-
-
33646114290
-
Oxygen-vacancy-induced threshold voltage shifts in Hf-related high-k gate stacks
-
K. Shiraishi, K. Yamada, K. Torii, Y. Akasaka, K. Nakajima, and M. Konno Oxygen-vacancy-induced threshold voltage shifts in Hf-related high-k gate stacks Thin Solid Films 508 2006 305 310
-
(2006)
Thin Solid Films
, vol.508
, pp. 305-310
-
-
Shiraishi, K.1
Yamada, K.2
Torii, K.3
Akasaka, Y.4
Nakajima, K.5
Konno, M.6
-
246
-
-
34547917220
-
Modified oxygen vacancy induced fermi level pinning model extendable to P-metal pinning
-
Y. Akasaka, G. Nakamura, K. Shiraishi, N. Umezawa, K. Yamabe, and O. Ogawa Modified oxygen vacancy induced fermi level pinning model extendable to P-metal pinning Jpn J Appl Phys 45 2006 L1289 L1292
-
(2006)
Jpn J Appl Phys
, vol.45
-
-
Akasaka, Y.1
Nakamura, G.2
Shiraishi, K.3
Umezawa, N.4
Yamabe, K.5
Ogawa, O.6
-
247
-
-
33748614600
-
Advanced high-κ dielectric stacks with polySi and metal gates: Recent progress and current challenges
-
DOI 10.1147/rd.504.0387
-
E.P. Gusev, V. Narayanan, and M.M. Frank Advanced high-k dielectric stacks with poly-Si and metal gates: recent progress and current challenges IBM Res Dev 50 2006 387 410 (Pubitemid 44375469)
-
(2006)
IBM Journal of Research and Development
, vol.50
, Issue.4-5
, pp. 387-410
-
-
Gusev, E.P.1
Narayanan, V.2
Frank, M.M.3
-
248
-
-
21644474936
-
Careful examination on the asymmetric Vfb shift problem for poly-Si/HfSiON gate stack and its solution by the Hf concentration control in the dielectric near the poly-Si interface with small EOT expense
-
Technical Digest - IEEE International Electron Devices Meeting, 2004 IEDM (50th Annual Meeting)
-
M. Koyama, Y. Kamimuta, T. Ino, A. Kaneko, S. Inumiya, and K. Eguchi Careful examination on the asymmetric Vfb shift problem for poly-Si/HfSiON gate stack and its solution by the Hf concentration control in the dielectric near the poly-Si interface with small EOT expense IEEE IEDM Tech Dig 2004 499 502 (Pubitemid 40928338)
-
(2004)
Technical Digest - International Electron Devices Meeting, IEDM
, pp. 499-502
-
-
Koyama, M.1
Kamimuta, Y.2
Ino, T.3
Kaneko, A.4
Inumiya, S.5
Eguchi, K.6
Takayanagi, M.7
Nishiyama, A.8
-
249
-
-
0012289206
-
2-rich noncrystalline Zr and Hf silicate alloys
-
2-rich noncrystalline Zr and Hf silicate alloys Appl Phys Lett 77 2000 2912 2914
-
(2000)
Appl Phys Lett
, vol.77
, pp. 2912-2914
-
-
Lucovsky, G.1
Rayner, Jr.G.B.2
-
250
-
-
12844285624
-
Origin of flatband voltage shift in poly-Si/Hf-bsed high-k gate dielectrics and flatband voltage dependence on gate stack structure
-
DOI 10.1143/JJAP.43.7843, Dielectric Thin Films for Future ULSI Devices
-
M. Miyamara, K. Masuzaki, H. Watanabe, N. Ikarashi, and T. Tatsumi Origin of flatband voltage shift in poly-Si/Hf-based high-k gate dielectrics and flatband voltage dependence on gate stack structure Jpn J Appl Phys 43 2004 7843 7847 (Pubitemid 40169149)
-
(2004)
Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
, vol.43
, Issue.11 B
, pp. 7843-7847
-
-
Miyamura, M.1
Masuzaki, K.2
Watanabe, H.3
Ikarashi, N.4
Tatsumi, T.5
-
251
-
-
41149128834
-
Poly-Si/AlN/HfSiO stack for ideal threshold voltage and mobility in sub-100 nm MOSFETs
-
1705266, 2006 Symposium on VLSI Technology, VLSIT - Digest of Technical Papers
-
K.L. Lee, M.M. Frank, V. Paruchuri, E. Cartier, B. Linder, and N. Bojarezuk Poly-Si/AlN/HfSiO stack for ideal threshold voltage and mobility in sub-100 nm MOSFETs IEEE Symp VLSI Tech Dig 2006 160 161 (Pubitemid 351424175)
-
(2006)
Digest of Technical Papers - Symposium on VLSI Technology
, pp. 160-161
-
-
Lee, K.L.1
Frank, M.M.2
Paruchuri, V.3
Cartier, E.4
Linder, B.5
Bojarczuk, N.6
Wang, X.7
Rubino, J.8
Steen, M.9
Kozlowski, P.10
Newbury, J.11
Sikorski, E.12
Flaitz, P.13
Gribelyuk, M.14
Jamison, P.15
Singco, G.16
Narayanan, V.17
Zafar, S.18
Guha, S.19
Oldiges, P.20
Jammy, R.21
Ieong, M.22
more..
-
253
-
-
33750184279
-
Effective suppression of Fermi level pinning in polycrystalline-silicon/ high-k gate stack by using polycrystalline-silicon-germanium gate electrode
-
X. Yu, C. Zhu, and M. Yu Effective suppression of Fermi level pinning in polycrystalline-silicon/high-k gate stack by using polycrystalline-silicon- germanium gate electrode Appl Phys Lett 89 2006 163508
-
(2006)
Appl Phys Lett
, vol.89
, pp. 163508
-
-
Yu, X.1
Zhu, C.2
Yu, M.3
-
254
-
-
36248991844
-
Impact of a nonideal metal gate on surface optical phonon-limited mobility in high-κ gated MOSFETs
-
DOI 10.1109/TED.2007.907135
-
Shah, and R.D. Souza Univ MMDM, leicester. Impact of a nonideal metal gate on surface optical phonon-limited mobility in high-k gated MOSFETs IEEE Trans Electron Dev 54 2007 2991 2997 (Pubitemid 350123883)
-
(2007)
IEEE Transactions on Electron Devices
, vol.54
, Issue.11
, pp. 2991-2997
-
-
Shah, R.1
De Souza, M.M.2
-
256
-
-
0032655915
-
The impact of high-k gate dielectrics and metal gate electrodes on sub-100 nm MOSFET's
-
B. Cheng, M. Cao, R. Rao, A. Inani, P.V. Voorde, and W.M. Greene The impact of high-k gate dielectrics and metal gate electrodes on sub-100 nm MOSFET's IEEE Trans Electron Dev 46 1999 1537 1544
-
(1999)
IEEE Trans Electron Dev
, vol.46
, pp. 1537-1544
-
-
Cheng, B.1
Cao, M.2
Rao, R.3
Inani, A.4
Voorde, P.V.5
Greene, W.M.6
-
257
-
-
0017556846
-
The work function of the elements and its periodicity
-
H.B. Michaelson The work function of the elements and its periodicity J Appl Phys 48 1977 4729 4733
-
(1977)
J Appl Phys
, vol.48
, pp. 4729-4733
-
-
Michaelson, H.B.1
-
259
-
-
34447278109
-
-
X.P. Wang, H.Y. Yu, M.-F. Li, C.X. Zhu, S. Biesemans, and A. Chin IEEE Electron Dev Lett 28 2007 258 260
-
(2007)
IEEE Electron Dev Lett
, vol.28
, pp. 258-260
-
-
Wang, X.P.1
Yu, H.Y.2
Li, M.-F.3
Zhu, C.X.4
Biesemans, S.5
Chin, A.6
-
261
-
-
48649098232
-
Comprehensive study of VFB shift in high-k CMOS-dipole formation, Fermi-level pinning and oxygen vacancy effect
-
Y. Kamimuta, K. Iwamoto, Y. Nunoshige, A. Hirano, W. Mizubayashi, and Y. Watanabe Comprehensive study of VFB shift in high-k CMOS-dipole formation, Fermi-level pinning and oxygen vacancy effect IEEE IEDM Tech Dig 2007 341 344
-
(2007)
IEEE IEDM Tech Dig
, pp. 341-344
-
-
Kamimuta, Y.1
Iwamoto, K.2
Nunoshige, Y.3
Hirano, A.4
Mizubayashi, W.5
Watanabe, Y.6
-
262
-
-
50249109539
-
Clarification of additional mobility components associated with TaC and TiN metal gates in scaled HfSiON MOSFETs down to sub-0.1 nm EOT
-
K. Tatsumura, M. Goto, S. Kawanaka, K. Nakajima, T. Schimizu, and T. Ishihara Clarification of additional mobility components associated with TaC and TiN metal gates in scaled HfSiON MOSFETs down to sub-0.1 nm EOT IEEE IEDM Tech Dig 2007 349 352
-
(2007)
IEEE IEDM Tech Dig
, pp. 349-352
-
-
Tatsumura, K.1
Goto, M.2
Kawanaka, S.3
Nakajima, K.4
Schimizu, T.5
Ishihara, T.6
-
263
-
-
78049529747
-
Dopant enhanced in nickel silicide formation for high-k dielectric applications
-
S.Y. Tan Dopant enhanced in nickel silicide formation for high-k dielectric applications J Mater Sci - Mater Electron 21 2010 1195 1201
-
(2010)
J Mater Sci - Mater Electron
, vol.21
, pp. 1195-1201
-
-
Tan, S.Y.1
-
264
-
-
77950168575
-
22 nm CMOS approaches by PVD TiN or Ti-silicide as metal gate
-
C.S. Liu, G. Boccardi, H.Y. Wang, C.T. Lin, J. Petry, and M. Muller 22 nm CMOS approaches by PVD TiN or Ti-silicide as metal gate Int Symp VLSI - TSA 2009 63 64
-
(2009)
Int Symp VLSI - TSA
, pp. 63-64
-
-
Liu, C.S.1
Boccardi, G.2
Wang, H.Y.3
Lin, C.T.4
Petry, J.5
Muller, M.6
-
265
-
-
33846440636
-
12 on HfSiON
-
DOI 10.1109/LED.2006.886414
-
12) on HfSiON IEEE Electron Dev Lett 27 2006 966 968 (Pubitemid 46415847)
-
(2006)
IEEE Electron Device Letters
, vol.27
, Issue.12
, pp. 966-968
-
-
Kittl, J.A.1
Lauwers, A.2
Veloso, A.3
Hoffmann, T.4
Kubicek, S.5
Niwa, M.6
Van Dal, M.J.H.7
Pawlak, M.A.8
Brus, S.9
Demeurisse, C.10
Vrancken, C.11
Absil, P.12
Biesemans, S.13
-
266
-
-
33646394335
-
x on its thermal stability and electrical property as a gate electrode
-
x on its thermal stability and electrical property as a gate electrode J Electrochem Soc 153 2006 G572 G577
-
(2006)
J Electrochem Soc
, vol.153
-
-
Jiang, P.-C.1
Lai, Y.-S.2
Chen, J.S.3
-
267
-
-
77957322702
-
Low-frequency noise and static analysis of the impact of the TiN metal gate thicknesses on n- and p-channel MuGFETs
-
M. Rodrigues, J.A. Martino, A. Mercha, N. Collaert, E. Simoen, and C. Claeys Low-frequency noise and static analysis of the impact of the TiN metal gate thicknesses on n- and p-channel MuGFETs Solid-State Electron 54 2010 1592 1597
-
(2010)
Solid-State Electron
, vol.54
, pp. 1592-1597
-
-
Rodrigues, M.1
Martino, J.A.2
Mercha, A.3
Collaert, N.4
Simoen, E.5
Claeys, C.6
-
270
-
-
0036160670
-
An adjustable work function technology using Mo gate for CMOS devices
-
DOI 10.1109/55.974809, PII S074131060200455X
-
R. Lin, Q. Lu, P. Ranade, T.-J. King, and C. HU An adjustable work function technology using Mo gate for CMOS devices IEEE Electron Dev Lett 23 2002 49 51 (Pubitemid 34138841)
-
(2002)
IEEE Electron Device Letters
, vol.23
, Issue.1
, pp. 49-51
-
-
Lin, R.1
Lu, Q.2
Ranade, P.3
King, T.-J.4
Hu, C.5
-
271
-
-
77954841265
-
Investigation of the Ru-Zr metal alloy for use as a gate electrode in NMOS devices
-
S.-N. Hong, and J.-M. Park Investigation of the Ru-Zr metal alloy for use as a gate electrode in NMOS devices J Korean Phys Soc 56 2010 1497 1499
-
(2010)
J Korean Phys Soc
, vol.56
, pp. 1497-1499
-
-
Hong, S.-N.1
Park, J.-M.2
-
272
-
-
21044443770
-
Continuous and precise work function adjustment for integratable dual metal gate CMOS technology using Hf-Mo binary alloys
-
DOI 10.1109/TED.2005.848108
-
T.-L. Li, C.-H. Hu, W.-L. Ho, H.C.-H. Wang, and C.-Y. Chang Continuous and precise work function adjustment for integratable dual metal gate CMOS technology using Hf-Mo binary alloys IEEE Trans Electron Dev 52 2005 1172 1179 (Pubitemid 40871153)
-
(2005)
IEEE Transactions on Electron Devices
, vol.52
, Issue.6
, pp. 1172-1179
-
-
Li, T.-L.1
Hu, C.-H.2
Ho, W.-L.3
Wang, H.C.-H.4
Chang, C.-Y.5
-
273
-
-
55249092259
-
zmetals as gate electrodes for p-metal oxide semiconductor field effect transistor devices
-
zmetals as gate electrodes for p-metal oxide semiconductor field effect transistor devices J Appl Phys 104 2008 084101
-
(2008)
J Appl Phys
, vol.104
, pp. 084101
-
-
Sawkar-Mathur, M.1
Chang, J.P.2
-
274
-
-
21644443681
-
2(Hf) dual gates and high-κ dielectric on 1P6M-0.18μm-CMOS
-
Technical Digest - IEEE International Electron Devices Meeting, 2004 IEDM (50th Annual Meeting)
-
D.S. Yu, A. Chin, C.C. Laio, C.F. Lee, C.F. Cheng, and W.J. Chen 3D GOI CMOSFETs with Novel IrO2(Hf) dual gates and high-k dielectric on 1P6M-0.18 μm-CMOS IEEE IEDM Tech Dig 2004 181 184 (Pubitemid 40928257)
-
(2004)
Technical Digest - International Electron Devices Meeting, IEDM
, pp. 181-184
-
-
Yu, D.S.1
Chin, A.2
Laio, C.C.3
Lee, C.F.4
Cheng, C.F.5
Chen, W.J.6
Zhu, C.7
Li, M.-F.8
Yoo, W.J.9
McAlister, S.P.10
Kwong, D.L.11
-
275
-
-
54249088022
-
Thermally unstable ruthenium oxide gate electrodes in metal/high-k gate stacks
-
M. Kadoshima, T. Aminaka, E. Kurosawa, T. Aoyama, Y. Nara, and Y. Ohji Thermally unstable ruthenium oxide gate electrodes in metal/high-k gate stacks Jpn J Appl Phys 47 2008 2108 2111
-
(2008)
Jpn J Appl Phys
, vol.47
, pp. 2108-2111
-
-
Kadoshima, M.1
Aminaka, T.2
Kurosawa, E.3
Aoyama, T.4
Nara, Y.5
Ohji, Y.6
-
276
-
-
24144439320
-
Physical and electrical properties of lanthanide-incorporated tantalum nitride for n-channel metal-oxide-semiconductor field-effect transistors
-
C. Ren, D.S.H. Chan, X.P. Wang, B.B. Faizhal, M.-F. Li, and Y.-C. Yeo Physical and electrical properties of lanthanide-incorporated tantalum nitride for n-channel metal-oxide-semiconductor field-effect transistors Appl Phys Lett 87 2005 073506
-
(2005)
Appl Phys Lett
, vol.87
, pp. 073506
-
-
Ren, C.1
Chan, D.S.H.2
Wang, X.P.3
Faizhal, B.B.4
Li, M.-F.5
Yeo, Y.-C.6
-
278
-
-
36249006521
-
Nitrides by lanthanum or aluminum doping for advanced CMOS devices
-
X.P. Wang, A.E.-J. Lim, H.Y. Yu, M.-F. Li, C. Ren, and W.-Y. Loh Nitrides by lanthanum or aluminum doping for advanced CMOS devices IEEE Trans Electron Dev 54 2007 2871 2877
-
(2007)
IEEE Trans Electron Dev
, vol.54
, pp. 2871-2877
-
-
Wang, X.P.1
Lim, A.E.-J.2
Yu, H.Y.3
Li, M.-F.4
Ren, C.5
Loh, W.-Y.6
-
279
-
-
77952707279
-
Sub-30-nm complementary metal-oxide-semiconductor field-effect transistor with Pt-incorporated fully Ni-silicide/SiON gate stack
-
H. Fukutome, K. Okubo, S. Akiyama, N. Idani, H. Ohta, and K. Kawamura Sub-30-nm complementary metal-oxide-semiconductor field-effect transistor with Pt-incorporated fully Ni-silicide/SiON gate stack Jpn J Appl Phys 49 2010 04DC16
-
(2010)
Jpn J Appl Phys
, vol.49
-
-
Fukutome, H.1
Okubo, K.2
Akiyama, S.3
Idani, N.4
Ohta, H.5
Kawamura, K.6
-
280
-
-
33847749884
-
Systematic study of workfunction engineering and scavenging effect using NiSi alloy FUSI metal gates with advanced gate stacks
-
1609432, IEEE International Electron Devices Meeting, 2005 IEDM - Technical Digest
-
Y.H. Kim, C. Cabral, E.P. Gusev, R. Carruthers, L. Gignac, and M. Gribelyuk Systematic study of workfunction engineering and scavenging effect using NiSi alloy FUSI metal gates with advanced gate stacks IEEE IEDM Tech Dig 2005 642 645 (Pubitemid 46370933)
-
(2005)
Technical Digest - International Electron Devices Meeting, IEDM
, vol.2005
, pp. 642-645
-
-
Kim, Y.H.1
Cabral Jr., C.2
Gusev, E.P.3
Carruthers, R.4
Gignac, L.5
Gribelyuk, M.6
Cartier, E.7
Zafar, S.8
Copel, M.9
Narayanan, V.10
Newbury, J.11
Price, B.12
Acevedo, J.13
Jamison, P.14
Linder, B.15
Natzle, W.16
Cai, J.17
Jammy, R.18
Ieong, M.19
-
281
-
-
31944444785
-
Phase formation and work function tuning in fully silicided Co-Ni metal gates with rariable Co-Ni ratios
-
J. Liu, and D.L. Kwong Phase formation and work function tuning in fully silicided Co-Ni metal gates with rariable Co-Ni ratios Appl Phys Lett 88 2006 052109
-
(2006)
Appl Phys Lett
, vol.88
, pp. 052109
-
-
Liu, J.1
Kwong, D.L.2
-
282
-
-
33845419668
-
Work function engineering using lanthanum oxide interfacial layers
-
H.N. Alshareef, M. Quevedo-Lopez, H.C. Wen, R. Harris, P. Kirsch, and P. Majhi Work function engineering using lanthanum oxide interfacial layers Appl Phys Lett 89 2006 232103
-
(2006)
Appl Phys Lett
, vol.89
, pp. 232103
-
-
Alshareef, H.N.1
Quevedo-Lopez, M.2
Wen, H.C.3
Harris, R.4
Kirsch, P.5
Majhi, P.6
-
283
-
-
0036923256
-
A novel nickel SALICIDE process technology for CMOS devices with sub-40 nm physical gate length
-
J.P. Lu, D. Miles, J. Zhao, A. Gurba, Y. Xu, and C. Lin A novel nickel SALICIDE process technology for CMOS devices with sub-40 nm physical gate length IEEE IEDM Tech Dig 2002 371 374
-
(2002)
IEEE IEDM Tech Dig
, pp. 371-374
-
-
Lu, J.P.1
Miles, D.2
Zhao, J.3
Gurba, A.4
Xu, Y.5
Lin, C.6
-
284
-
-
23744433409
-
Fully silicided metal gates for high-performance CMOS technology: A review
-
W.P. Maszara Fully silicided metal gates for high-performance CMOS technology: a review J Electrochem Soc 152 2005 G550 G555
-
(2005)
J Electrochem Soc
, vol.152
-
-
Maszara, W.P.1
-
285
-
-
0019038920
-
Refractory silicides for integrated circuits
-
S.P. Murarka Refractory silicides for integrated circuits J Vac Sci Technol 17 1980 775 792
-
(1980)
J Vac Sci Technol
, vol.17
, pp. 775-792
-
-
Murarka, S.P.1
-
287
-
-
0037255711
-
Metal silicides in CMOS technology: Past, present, and future trends
-
S.-L. Zhang, and M. Östling Metal silicides in CMOS technology: past, present, and future trends Crit Rev Solid Mater Sci 28 2003 1 29
-
(2003)
Crit Rev Solid Mater Sci
, vol.28
, pp. 1-29
-
-
Zhang, S.-L.1
Östling, M.2
-
288
-
-
0019045587
-
Refractory silicides of titanium and tantalum for low-resistivity gates and interconnects
-
S.P. Murarka, D.B. Fraser, A.K. Sinha, and H.J. Levinstein Refractory silicides of titanium and tantalum for low-resistivity gates and interconnects IEEE Trans Electron Dev ED-27 1980 1409 1417 (Pubitemid 11445379)
-
(1980)
IEEE Transactions on Electron Devices
, vol.ED-27
, Issue.8
, pp. 1409-1417
-
-
Murarka Shyam, P.1
Fraser David, B.2
Sinha Ashok, K.3
Levinstein, H.J.4
-
289
-
-
0019636221
-
Phosphorus-doped molybdenum silicide films for LSI applications
-
S. Inoue, N. Toyokura, T. Nakamura, and H. Ishikawa Phosphorus-doped molybdenum silicide films for LSI applications J Electrochem Soc 128 1981 2402 2410 (Pubitemid 12448282)
-
(1981)
Journal of the Electrochemical Society
, vol.128
, Issue.11
, pp. 2402-2410
-
-
Inoue, S.1
Toyokura, N.2
Nakamura, T.3
Ishikawa, H.4
-
292
-
-
12344313329
-
Threshold voltage control in NiSi-Gated MOSFETs through SIIS
-
DOI 10.1109/TED.2004.841264
-
J. Kedzierski, D. Boyd, and C. Cabral Threshold voltage control in NiSi-gated MOSFETs through SIIS IEEE Trans Electron Dev 52 2005 39 46 (Pubitemid 40118909)
-
(2005)
IEEE Transactions on Electron Devices
, vol.52
, Issue.1
, pp. 39-46
-
-
Kedzierski, J.1
Boyd, D.2
Cabral Jr., C.3
Ronsheim, P.4
Zafar, S.5
Kozlowski, P.M.6
Ott, J.A.7
Ieong, M.8
-
293
-
-
69749127473
-
Influence of Ni silicide phases on effective work function modulation with Al-pileup in the Ni fully silicided gate/HfSiON system
-
Y. Tsuchiya, M. Yoshiki, J. Koga, A. Nishiyama, and M. Koyama Influence of Ni silicide phases on effective work function modulation with Al-pileup in the Ni fully silicided gate/HfSiON system J Appl Phys 106 2009 044510
-
(2009)
J Appl Phys
, vol.106
, pp. 044510
-
-
Tsuchiya, Y.1
Yoshiki, M.2
Koga, J.3
Nishiyama, A.4
Koyama, M.5
-
294
-
-
33745138793
-
A comprehensive study of fully-silicided gates to achieve wide-range work function differences (0.91 eV) for high-performance CMOS devices
-
DOI 10.1109/.2005.1469214, 1469214, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
K. Hosaka, T. Kurahashi, K. Kawamura, T. Aoyama, Y. Mishima, and K. Suzuki A comprehensive study of fully-silicided gates to achieve wide-range work function differences (0.91 eV) for high-performance CMOS devices IEEE Symp VLSI Tech Dig 2005 66 67 (Pubitemid 43897570)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 66-67
-
-
Hosaka, K.1
Kurahashi, T.2
Kawamura, K.3
Aoyama, T.4
Mishima, Y.5
Suzuki, K.6
Sato, S.7
-
295
-
-
31544447747
-
Modulation of the workfunction of Ni fully silicided gates by doping: Dielectric and silicide phase effects
-
DOI 10.1109/LED.2005.862677
-
M.A. Pawlak, A. Lauwers, T. Janssens, K.G. Anil, K. Opsomer, and K. Maex Modulation of the workfunction of Ni fully silicided gates by doping: dielectric and silicide phase effects IEEE Electron Dev Lett 27 2006 99 101 (Pubitemid 43159592)
-
(2006)
IEEE Electron Device Letters
, vol.27
, Issue.2
, pp. 99-101
-
-
Pawlak, M.A.1
Lauwers, A.2
Janssens, T.3
Anil, K.G.4
Opsomer, K.5
Maex, K.6
Vantomme, A.7
Kittl, J.A.8
-
297
-
-
49349103373
-
CMOS dual-work-function engineering by using implanted Ni-FUSI
-
C.-T. Lin, M. Ramin, M. Pas, R. Wise, Y.-K. Fang, and C.-H. Hsu CMOS dual-work-function engineering by using implanted Ni-FUSI IEEE Electron Dev Lett 28 2007 831 833
-
(2007)
IEEE Electron Dev Lett
, vol.28
, pp. 831-833
-
-
Lin, C.-T.1
Ramin, M.2
Pas, M.3
Wise, R.4
Fang, Y.-K.5
Hsu, C.-H.6
-
298
-
-
40949130851
-
Dipole moment model explaining nFET Vt tuning utilizing La, Sc, Er, and Sr doped HfSiON dielectrics
-
P. Sivasubramani, T.S. Boscke, J. Huang, C.D. Young, P.D. Kirsch, and S.A. Krishnan Dipole moment model explaining nFET Vt tuning utilizing La, Sc, Er, and Sr doped HfSiON dielectrics IEEE Symp VLSI Tech Dig 2007 68 69
-
(2007)
IEEE Symp VLSI Tech Dig
, pp. 68-69
-
-
Sivasubramani, P.1
Boscke, T.S.2
Huang, J.3
Young, C.D.4
Kirsch, P.D.5
Krishnan, S.A.6
-
299
-
-
0035717522
-
2) polysilicon: A novel approach to very low-resistive gate (∼2Ω/□) without metal CMP nor etching
-
2) polysilicon: a novel approach to very low-resistive gate (∼2Ω/square) without metal CMP nor etching IEEE IEDM Tech Dig 2001 825 828 (Pubitemid 34166843)
-
(2001)
Technical Digest - International Electron Devices Meeting
, pp. 825-828
-
-
Tavel, B.1
Skotnicki, T.2
Pares, G.3
Carriere, N.4
Rivoire, M.5
Leverd, F.6
Julien, C.7
Torres, J.8
Pantel, R.9
-
300
-
-
0142106917
-
Ni- and Co-based silicides for advanced CMOS applications
-
J.A. Kittl, A. Lauwers, O. Chamirian, M.V. Dal, A. Akheyar, and M.D. Potter Ni- and Co-based silicides for advanced CMOS applications Microelectron Eng 70 2003 158 165
-
(2003)
Microelectron Eng
, vol.70
, pp. 158-165
-
-
Kittl, J.A.1
Lauwers, A.2
Chamirian, O.3
Dal, M.V.4
Akheyar, A.5
Potter, M.D.6
-
301
-
-
0141918436
-
Investigation of NiSi and TiSi as CMOS gate materials
-
P. Xuan, and J. Bokor Investigation of NiSi and TiSi as CMOS gate materials IEEE Electron Dev Lett 24 2003 634 636
-
(2003)
IEEE Electron Dev Lett
, vol.24
, pp. 634-636
-
-
Xuan, P.1
Bokor, J.2
-
302
-
-
33645041147
-
Metastable phase formation in titanium-silicon thin films
-
R. Beyers, and R. Sinclair Metastable phase formation in titanium-silicon thin films J Appl Phys 57 1985 5240 5245
-
(1985)
J Appl Phys
, vol.57
, pp. 5240-5245
-
-
Beyers, R.1
Sinclair, R.2
-
305
-
-
0012934960
-
Cross-sectional transmission electron microscopy study of the influence of niobium on the formation of titanium silicide in small-feature contacts
-
T. Jarmar, J. Seger, F. Ericson, U. Smith, and S.-L. Zhang Cross-sectional transmission electron microscopy study of the influence of niobium on the formation of titanium silicide in small-feature contacts J Appl Phys 93 2003 4480 4484
-
(2003)
J Appl Phys
, vol.93
, pp. 4480-4484
-
-
Jarmar, T.1
Seger, J.2
Ericson, F.3
Smith, U.4
Zhang, S.-L.5
-
307
-
-
0001479382
-
2 using titanium alloys
-
2 using titanium alloys Appl Phys Lett 71 1997 3531 3533 (Pubitemid 127613797)
-
(1997)
Applied Physics Letters
, vol.71
, Issue.24
, pp. 3531-3533
-
-
Cabral Jr., C.1
Clevenger, L.A.2
Harper, J.M.E.3
D'Heurle, F.M.4
Roy, R.A.5
Lavoie, C.6
Saenger, K.L.7
Miles, G.L.8
Mann, R.W.9
Nakos, J.S.10
-
308
-
-
0000023176
-
Phase formation and resistivity in the ternary system Ti-Nb-Si
-
A. Mouroux, M. Roux, S.-L. Zhang, F.M. d'Heurle, and C. Cabral Jr Phase formation and resistivity in the ternary system Ti-Nb-Si J Appl Phys 86 1999 2323 2329 (Pubitemid 129562227)
-
(1999)
Journal of Applied Physics
, vol.86
, Issue.4
, pp. 2323-2329
-
-
Mouroux, A.1
Roux, M.2
Zhang, S.-L.3
D'Heurle, F.M.4
Cabral Jr., C.5
Lavoie, C.6
Harper, J.M.E.7
-
309
-
-
3943066406
-
N-type schottky barrier source/drain MOSFET using ytterbium silicide
-
S. Zhu, J. Chen, M.-F. Li, S.J. Lee, J. Singh, and C.X. Zhu N-type schottky barrier source/drain MOSFET using ytterbium silicide IEEE Electron Dev Lett 25 2004 565 567
-
(2004)
IEEE Electron Dev Lett
, vol.25
, pp. 565-567
-
-
Zhu, S.1
Chen, J.2
Li, M.-F.3
Lee, S.J.4
Singh, J.5
Zhu, C.X.6
-
310
-
-
2442623512
-
Schottky-barrier S/D MOSFETs with high-k gate dielectrics and metal-gate electrode
-
S. Zhu, H.Y. Yu, S.J. Wang, J.H. Chen, C. Shen, and C.X. Zhu Schottky-barrier S/D MOSFETs with high-k gate dielectrics and metal-gate electrode IEEE Electron Dev Lett 25 2004 268 270
-
(2004)
IEEE Electron Dev Lett
, vol.25
, pp. 268-270
-
-
Zhu, S.1
Yu, H.Y.2
Wang, S.J.3
Chen, J.H.4
Shen, C.5
Zhu, C.X.6
-
311
-
-
0142120597
-
Characteristics of erbium-silicided n-type Schottky barrier tunnel transistors
-
M. Jang, J. Oh, S. Maeng, W. Cho, S. Lee, and K. Kang Characteristics of erbium-silicided n-type Schottky barrier tunnel transistors Appl Phys Lett 83 2003 2611 2613
-
(2003)
Appl Phys Lett
, vol.83
, pp. 2611-2613
-
-
Jang, M.1
Oh, J.2
Maeng, S.3
Cho, W.4
Lee, S.5
Kang, K.6
-
312
-
-
58149252562
-
High-temperature stable HfLaON p-MOSFETs with high-work-function Ir3Si gate
-
C.H. Wu, B.F. Huang, A. Chin, S.J. Wang, X.P. Wang, and M.-F. Li High-temperature stable HfLaON p-MOSFETs with high-work-function Ir3Si gate IEEE Electron Dev Lett 28 2007 292 294
-
(2007)
IEEE Electron Dev Lett
, vol.28
, pp. 292-294
-
-
Wu, C.H.1
Huang, B.F.2
Chin, A.3
Wang, S.J.4
Wang, X.P.5
Li, M.-F.6
-
313
-
-
33748481112
-
χ gate
-
DOI 10.1109/LED.2006.880659
-
C.H. Wu, B.F. Huang, A. Chin, S.J. Wang, F.Y. Yen, and Y.T. Hou HfSiON n-MOSFETs using low-work function HfSix gate IEEE Electron Dev Lett 27 2006 762 764 (Pubitemid 44355899)
-
(2006)
IEEE Electron Device Letters
, vol.27
, Issue.9
, pp. 762-764
-
-
Wu, C.H.1
Hung, B.F.2
Chin, A.3
Wang, S.J.4
Yen, F.Y.5
Hou, Y.T.6
Jin, Y.7
Tao, H.J.8
Chen, S.C.9
Liang, M.S.10
-
314
-
-
33744764923
-
HfAlON n-MOSFETs Incorporating low-work function gate using ytterbium silicide
-
DOI 10.1109/LED.2006.874778
-
C.H. Wu, B.F. Huang, A. Chin, S.J. Wang, F.Y. Yen, and Y.T. Hou HfAlON n-MOSFETs incorporating low-work function gate using ytterbium silicide IEEE Electron Dev Lett 27 2006 454 456 (Pubitemid 43821736)
-
(2006)
IEEE Electron Device Letters
, vol.27
, Issue.6
, pp. 454-456
-
-
Wu, C.H.1
Hung, B.F.2
Chin, A.3
Wang, S.J.4
Yen, F.Y.5
Hou, Y.T.6
Jin, Y.7
Tao, H.J.8
Chen, S.C.9
Liang, M.S.10
-
316
-
-
52149109949
-
Gentle FUSI NiSi metal gate process for high-k dielectric screening
-
H.D.B. Gottlob, M.C. Lemme, M. Schmidt, T.J. Echtermeyer, T. Mollenhauer, and H. Kurz Gentle FUSI NiSi metal gate process for high-k dielectric screening Microelectron Eng 85 2008 2019 2021
-
(2008)
Microelectron Eng
, vol.85
, pp. 2019-2021
-
-
Gottlob, H.D.B.1
Lemme, M.C.2
Schmidt, M.3
Echtermeyer, T.J.4
Mollenhauer, T.5
Kurz, H.6
-
317
-
-
0032620901
-
Enhancement of thermal stability of NiSi films on (100)Si and (111)Si by Pt addition
-
D. Mangelinck, J.Y. Dai, J.S. Pan, and S.K. Lahiri Enhancement of thermal stability of NiSi films on (1 0 0)Si and (1 1 1)Si by Pt addition Appl Phys Lett 75 1999 1736 1738 (Pubitemid 129304480)
-
(1999)
Applied Physics Letters
, vol.75
, Issue.12
, pp. 1736-1738
-
-
Mangelinck, D.1
Dai, J.Y.2
Pan, J.S.3
Lahiri, S.K.4
-
318
-
-
0345222451
-
F-enhanced morphological and thermal stability of NiSi films on BF 2 + -implanted Si(001)
-
A.S.W. Wong, D.Z. Chi, M. Loomans, D. Ma, M.Y. Lai, and W.C. Tjiu F-enhanced morphological and thermal stability of NiSi films on BF 2 + -implanted Si(001) Appl Phys Lett 81 2002 5138 5140
-
(2002)
Appl Phys Lett
, vol.81
, pp. 5138-5140
-
-
Wong, A.S.W.1
Chi, D.Z.2
Loomans, M.3
Ma, D.4
Lai, M.Y.5
Tjiu, W.C.6
-
319
-
-
33847725830
-
Demonstration of Ni fully germanoSilicide as a pFET gate electrode candidate on HfSiON
-
1609431, IEEE International Electron Devices Meeting, 2005 IEDM - Technical Digest
-
H.Y. Yu, R. Singanamalla, K. Opsomer, E. Augendre, E. Simoen, and J.A. Kittl Demonstration of Ni fully germanosilicide as a pFET gate electrode candidate on HfSiON IEEE IEDM Tech Dig 2005 638 641 (Pubitemid 46370932)
-
(2005)
Technical Digest - International Electron Devices Meeting, IEDM
, vol.2005
, pp. 638-641
-
-
Yu, H.Y.1
Singanamalla, R.2
Opsomer, K.3
Augendre, E.4
Simoen, E.5
Kittl, J.A.6
Kubicek, S.7
Severi, S.8
Shi, X.P.9
Brus, S.10
Zhao, C.11
De Marneffe, J.F.12
Locorotondo, S.13
Shamiryan, D.14
Van Dal, M.15
Veloso, A.16
Lauwers, A.17
Niwa, M.18
Maex, K.19
Meyer, K.D.20
Absil, P.21
Jurczak, M.22
Biesemans, S.23
more..
-
320
-
-
17744372120
-
Dual-work-function metal gates by full silicidation of poly-Si with Co-Ni Bi-layers
-
DOI 10.1109/LED.2005.844696
-
J. Liu, H.C. Wen, J.P. Lu, and D.-L. Kwong Dual-work-function metal gates by full silicidation of poly-Si with Co-Ni Bi-layers IEEE Electron Dev Lett 26 2005 228 230 (Pubitemid 40573218)
-
(2005)
IEEE Electron Device Letters
, vol.26
, Issue.4
, pp. 228-230
-
-
Liu, J.1
Wen, H.C.2
Lu, J.P.3
Kwong, D.-L.4
-
321
-
-
84907696593
-
A tuneable metal gate work function using solid state diffusion of nitrogen
-
Firenze, Italy
-
Lander RJP, Hooker JC, Zijl JPV, Roozeboom F, Maas MPM, Tamminga Y, et al. A tuneable metal gate work function using solid state diffusion of nitrogen. In: Proc ESSDERC, Firenze, Italy; 2002. p. 103-06.
-
(2002)
Proc ESSDERC
, pp. 103-106
-
-
Rjp, L.1
Hooker, J.C.2
Jpv, Z.3
Roozeboom, F.4
Mpm, M.5
Tamminga, Y.6
-
322
-
-
0035472007
-
A dual-metal gate CMOS technology using nitrogen-concentration-controlled TiNx film
-
DOI 10.1109/16.954478, PII S001893830108354X
-
H. Wakabayashi, Y. Saito, K. Takeuchi, T. Mogami, and T. Kunio A dual-metal gate CMOS technology using nitrogen-concentration-controlled TiNx film IEEE Trans Electron Dev 48 2001 2363 2369 (Pubitemid 33018203)
-
(2001)
IEEE Transactions on Electron Devices
, vol.48
, Issue.10
, pp. 2363-2369
-
-
Wakabayashi, H.1
Saito, Y.2
Takeuchi, K.3
Mogami, T.4
Kunio, T.5
-
323
-
-
28044439864
-
3
-
DOI 10.1016/j.mee.2005.07.083, PII S0167931705003485
-
3 Microelectron Eng 82 2005 248 253 (Pubitemid 41690452)
-
(2005)
Microelectronic Engineering
, vol.82
, Issue.3-4 SPEC. ISSUE
, pp. 248-253
-
-
Fillot, F.1
Morel, T.2
Minoret, S.3
Matko, I.4
Maitrejean, S.5
Guillaumot, B.6
Chenevier, B.7
Billon, T.8
-
326
-
-
1942455416
-
Thermally robutst HfN metal as a promising gate electrode for advanced MOS device applications
-
H.Y. Yu, M.-F. Li, and D.-L. Kwong Thermally robutst HfN metal as a promising gate electrode for advanced MOS device applications IEEE Trans Electron Dev 51 2004 609 615
-
(2004)
IEEE Trans Electron Dev
, vol.51
, pp. 609-615
-
-
Yu, H.Y.1
Li, M.-F.2
Kwong, D.-L.3
-
328
-
-
0037766775
-
Physical and electrical characteristics of HfN gate electrode for advanced MOS devices
-
H.Y. Yu, H.F. Lim, J.H. Chen, M.F. Li, C. Zhu, and C.H. Tung Physical and electrical characteristics of HfN gate electrode for advanced MOS devices IEEE Electron Dev Lett 24 2003 230 232
-
(2003)
IEEE Electron Dev Lett
, vol.24
, pp. 230-232
-
-
Yu, H.Y.1
Lim, H.F.2
Chen, J.H.3
Li, M.F.4
Zhu, C.5
Tung, C.H.6
-
329
-
-
30944438047
-
3 on the fabrication of HfN as gate-electrode using MOCVD
-
DOI 10.1016/j.tsf.2005.07.071, PII S0040609005009314, Proceedings of the Third Asian Conference on Chemical Vapor Deposition (Third Asian-CVD), Third Asian CVD
-
3 on the fabrication of HfN as gate-electrode using MOCVD Thin Solid Films 498 2006 75 79 (Pubitemid 43113128)
-
(2006)
Thin Solid Films
, vol.498
, Issue.1-2
, pp. 75-79
-
-
Wang, W.W.1
Nabatame, T.2
Shimogaki, Y.3
-
330
-
-
0034453465
-
Charactristics of TaN gate MOSFET with ultrathin hafnium oxide(8 -12 )
-
B.H. Lee, R. Choi, L. Kang, S. Gopalan, R. Nieh, and K. Onishi Charactristics of TaN gate MOSFET with ultrathin hafnium oxide(8 -12 ) IEEE IEDM Tech Dig 2000 39 42
-
(2000)
IEEE IEDM Tech Dig
, pp. 39-42
-
-
Lee, B.H.1
Choi, R.2
Kang, L.3
Gopalan, S.4
Nieh, R.5
Onishi, K.6
-
332
-
-
33847717471
-
Dependence of PMOS metal work functions on surface conditions of high-K gate dielectrics
-
1609261, IEEE International Electron Devices Meeting, 2005 IEDM - Technical Digest
-
R. Jha, B. Lee, B. Chen, S. Novak, P. Majhi, and V. Misra Dependence of PMOS metal-metal work functions on surface conditions of high-k gate dielectrics IEEE IEDM Tech Dig 2005 43 46 (Pubitemid 46370787)
-
(2005)
Technical Digest - International Electron Devices Meeting, IEDM
, vol.2005
, pp. 43-46
-
-
Jha, R.1
Lee, B.2
Chen, B.3
Novak, S.4
Majhi, P.5
Misra, V.6
-
335
-
-
0034790450
-
Low resistivity bcc-Ta/TaNx metal gate MNSFETs having plane gate structure featuring fully low-temperature processing below 450°C
-
H. Shimada, I. Ohshima, S.-I. Nakao, M. Nakagawa, K. Kanemoto, and M. Hirayama Low resistivity bcc-Ta/TaNx metal gate MNSFETs having plane gate structure featuring fully low-temperature processing below 450 °C IEEE Symp VLSI Tech Dig 2001 67 68 (Pubitemid 32950663)
-
(2001)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 67-68
-
-
Shimada, H.1
Ohshima, I.2
Nakao, S.-I.3
Nakagawa, M.4
Kanemoto, K.5
Hirayama, M.6
Sugawa, S.7
Ohmi, T.8
-
336
-
-
27944471759
-
Investigation of work function tuning using multiple layer metal gate electrodes stacks for complementary metal-oxide-semiconductor applications
-
R. Jha, J. Lee, P. Majhi, and V. Misra Investigation of work function tuning using multiple layer metal gate electrodes stacks for complementary metal-oxide-semiconductor applications Appl Phys Lett 87 2005 223503
-
(2005)
Appl Phys Lett
, vol.87
, pp. 223503
-
-
Jha, R.1
Lee, J.2
Majhi, P.3
Misra, V.4
-
337
-
-
17644370345
-
Three-layer laminated metal gate electrodes with tunable work functions for CMOS applications
-
DOI 10.1109/LED.2005.844701
-
W.P. Bai, S.H. Bae, H.C. Wen, S. Mathew, L.K. Bera, and N. Balasubramanian Three-layer laminated metal gate electrodes with tunable work functions for CMOS applications IEEE Electron Dev Lett 26 2005 231 233 (Pubitemid 40555658)
-
(2005)
IEEE Electron Device Letters
, vol.26
, Issue.4
, pp. 231-233
-
-
Bai, W.P.1
Bae, S.H.2
Wen, H.C.3
Mathew, S.4
Bera, L.K.5
Balasubramanian, N.6
Yamada, N.7
Li, M.F.8
Kwong, D.-L.9
-
338
-
-
33947175224
-
Ultralow work function of scandium metal gate with tantalum nitride interface layer for n-channel metal oxide semiconductor application
-
M. Hasan, H. Park, H. Yang, H. Hwang, H.-S. Jung, and H.-H. Lee Ultralow work function of scandium metal gate with tantalum nitride interface layer for n-channel metal oxide semiconductor application Appl Phys Lett 90 2007 103510
-
(2007)
Appl Phys Lett
, vol.90
, pp. 103510
-
-
Hasan, M.1
Park, H.2
Yang, H.3
Hwang, H.4
Jung, H.-S.5
Lee, H.-H.6
-
339
-
-
33644880788
-
Work function tuning of metal nitride electrodes for advanced CMOS devices
-
C. Ren, B.B. Faizhal, D.S.H. Chan, M.-F. Li, Y.-C. Yeo, and A.D. Trigg Work function tuning of metal nitride electrodes for advanced CMOS devices Thin Solid Films 504 2006 174 177
-
(2006)
Thin Solid Films
, vol.504
, pp. 174-177
-
-
Ren, C.1
Faizhal, B.B.2
Chan, D.S.H.3
Li, M.-F.4
Yeo, Y.-C.5
Trigg, A.D.6
-
340
-
-
0035716658
-
Robust ternary metal gate electrodes for dual gate CMOS devices
-
D.-G. Park, T.-H. Cha, K.-Y. Lim, H.-J. Cho, T.-K. Kim, and S.-A. Jang Robust ternary metal gate electrodes for dual gate CMOS devices IEEE Int Electron Dev Meet Tech Dig 2001 671 674 (Pubitemid 34166813)
-
(2001)
Technical Digest - International Electron Devices Meeting
, pp. 671-674
-
-
Park, D.-G.1
Cha, T.-H.2
Lim, K.-Y.3
Cho, H.-J.4
Kim, T.-K.5
Jang, S.-A.6
Suh, Y.-S.7
Misra, V.8
Yeo, I.-S.9
Roh, J.-S.10
Park, J.W.11
Yoon, H.-K.12
-
341
-
-
33646710655
-
Evaluation of titanium silicon nitride as gate electrodes for complementary metal-oxide-semiconductor
-
H. Luan, H.N. Alshareef, H.R. Harris, H.C. When, K. Choi, and Y. Senzaki Evaluation of titanium silicon nitride as gate electrodes for complementary metal-oxide-semiconductor Appl Phys Lett 88 2006 142113
-
(2006)
Appl Phys Lett
, vol.88
, pp. 142113
-
-
Luan, H.1
Alshareef, H.N.2
Harris, H.R.3
When, H.C.4
Choi, K.5
Senzaki, Y.6
-
342
-
-
0842266647
-
Compatibility of dual metal gate electrodes with high-k dielectrics for CMOS
-
J.H. Lee, Y.-S. Suh, H. Lazar, R. Jha, J. Gurganus, and Y. Lin Compatibility of dual metal gate electrodes with high-k dielectrics for CMOS IEEE IEDM Tech Dig 2003 323 326
-
(2003)
IEEE IEDM Tech Dig
, pp. 323-326
-
-
Lee, J.H.1
Suh, Y.-S.2
Lazar, H.3
Jha, R.4
Gurganus, J.5
Lin, Y.6
-
343
-
-
33645673937
-
Electrical and physical analysis of MoTa alloy for gate electrode applications
-
B. Chen, N. Biawas, and Veena Misra Electrical and physical analysis of MoTa alloy for gate electrode applications J Electrochem Soc 153 2006 G417 G419
-
(2006)
J Electrochem Soc
, vol.153
-
-
Chen, B.1
Biawas, N.2
Misra, V.3
-
345
-
-
33745645893
-
Characterization of Pt-Ru binary alloy thin films for work function tuning
-
R.M. Todi, A.P. Warren, K.B. Sundaram, K. Barmak, and K.R. Coffey Characterization of Pt-Ru binary alloy thin films for work function tuning IEEE Electron Dev Lett 27 2006 542 544
-
(2006)
IEEE Electron Dev Lett
, vol.27
, pp. 542-544
-
-
Todi, R.M.1
Warren, A.P.2
Sundaram, K.B.3
Barmak, K.4
Coffey, K.R.5
-
346
-
-
34547417516
-
Charge transfer in alloys: AgAu
-
C.D. Gelatt, and H. Ehrenreich Jr. Charge transfer in alloys: AgAu Phys Rev B 10 1974 398 415
-
(1974)
Phys Rev B
, vol.10
, pp. 398-415
-
-
Gelatt, C.D.1
Ehrenreich, Jr.H.2
-
347
-
-
18644373877
-
Physical and electrical analysis of RuxYy alloys for gate electrode applications
-
B. Chen, Y. Suh, J. Lee, J. Gurganus, V. Misra, and C. Cabral Physical and electrical analysis of RuxYy alloys for gate electrode applications Appl Phys Lett 86 2005 053502
-
(2005)
Appl Phys Lett
, vol.86
, pp. 053502
-
-
Chen, B.1
Suh, Y.2
Lee, J.3
Gurganus, J.4
Misra, V.5
Cabral, C.6
-
349
-
-
0035446941
-
Dual work function metal gate CMOS technology using metal interdiffusion
-
DOI 10.1109/55.944334, PII S0741310601077394
-
I. Polishchuk, P. Ranade, T.-J. King, and C. Hu Dual work function metal gate CMOS technology using metal interdiffusion IEEE Electron Dev Lett 22 2001 444 446 (Pubitemid 32863875)
-
(2001)
IEEE Electron Device Letters
, vol.22
, Issue.9
, pp. 444-446
-
-
Polishchuk, I.1
Ranade, P.2
King, T.-J.3
Hu, C.4
-
350
-
-
0035714288
-
Properties of Ru-Ta alloys as gate electrodes for NMOS and PMOS silicon devices
-
H. Zhong, S.-N. Hong, Y.-S. Suh, H. Lazar, G. Heuss, and V. Misra Properties of Ru-Ta alloys as gate electrodes for NMOS and PMOS silicon devices IEEE IDM Tech Dig 2001 467 470 (Pubitemid 34166769)
-
(2001)
Technical Digest - International Electron Devices Meeting
, pp. 467-470
-
-
Zhong, H.1
Hong, S.-N.2
Suh, Y.-S.3
Lazar, H.4
Heuss, G.5
Misra, V.6
-
351
-
-
19944418274
-
Work function controllability of metal gates made by interdiffusing metal stacks with low and high work functions
-
DOI 10.1016/j.mee.2005.04.034, PII S0167931705001711, 14th Biennial Conference on Insulating Films on Semiconductors
-
T. Matsukawa, Y.X. Liu, M. Masahara, K. Ishii, K. Endo, and H. Yamauchi Work function controolability of metal gates made by interdiffusing metal stacks with low and high work functions Microelectron Eng 80 2005 284 287 (Pubitemid 40753096)
-
(2005)
Microelectronic Engineering
, vol.80
, Issue.SUPPL.
, pp. 284-287
-
-
Matsukawa, T.1
Liu, Y.X.2
Masahara, M.3
Ishii, K.4
Endo, K.5
Yamauchi, H.6
Sugimata, E.7
Takashima, H.8
Higashino, T.9
Suzuki, E.10
Kanemaru, S.11
-
352
-
-
0001766106
-
Abweichungen von Ohm'schen gesetz in halbleitern
-
W. Schottky Abweichungen von Ohm'schen gesetz in halbleitern Phys Z 41 1940 570 573
-
(1940)
Phys Z
, vol.41
, pp. 570-573
-
-
Schottky, W.1
-
354
-
-
47249129868
-
Fermi-level pinning position modulation by Al-containing metal gate for cost-effective dual-metal/dual-high-k CMOS
-
M. Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, and S. Miyazaki Fermi-level pinning position modulation by Al-containing metal gate for cost-effective dual-metal/dual-high-k CMOS IEEE Symp VLSI Tech Dig 2007 66 67
-
(2007)
IEEE Symp VLSI Tech Dig
, pp. 66-67
-
-
Kadoshima, M.1
Sugita, Y.2
Shiraishi, K.3
Watanabe, H.4
Ohta, A.5
Miyazaki, S.6
-
355
-
-
33748505222
-
Work function tuning via interface dipole by ultrathin reaction layers using AlTa and AlTaN alloys
-
DOI 10.1109/LED.2006.880643
-
B. Chen, R. Jha, and V. Misra Work function tuning via interface dipole by ultrathin reaction layers using AlTa and AlTaN alloys IEEE Electron Dev Lett 27 2006 731 733 (Pubitemid 44355890)
-
(2006)
IEEE Electron Device Letters
, vol.27
, Issue.9
, pp. 731-733
-
-
Chen, B.1
Jha, R.2
Misra, V.3
-
358
-
-
0036609910
-
Effects of high-κ gate dielectric materials on metal and silicon gate workfunctions
-
DOI 10.1109/LED.2002.1004229, PII S0741310602051844
-
Y.-C. Yeo, P. Ranade, T.-J. King, and C. Hu Effects of high-k gate dielectric materials on metal and silicon gate workfunctions IEEE Electron Dev Lett 23 2002 342 344 (Pubitemid 34731964)
-
(2002)
IEEE Electron Device Letters
, vol.23
, Issue.6
, pp. 342-344
-
-
Yeo, Y.-C.1
Ranade, P.2
King, T.-J.3
Hu, C.4
-
359
-
-
0034187380
-
Band offsets of wide-band-gap oxides and implications for future electronic devices band offsets of wide-band-gap oxides and implications for future electronic devices
-
J. Robertson Band offsets of wide-band-gap oxides and implications for future electronic devices band offsets of wide-band-gap oxides and implications for future electronic devices J Vac Sci Technol B 18 2000 1785 1791
-
(2000)
J Vac Sci Technol B
, vol.18
, pp. 1785-1791
-
-
Robertson, J.1
-
360
-
-
4944238315
-
Contributions to the effective work function of platinum on hafnium oxide
-
J.K. Schaeffer, L.R.C. Fonseca, S.B. Samavedam, Y. Liang, P.J. Tobin, and B.E. White Contributions to the effective work function of platinum on hafnium oxide Appl Phys Lett 85 2004 1826 1828
-
(2004)
Appl Phys Lett
, vol.85
, pp. 1826-1828
-
-
Schaeffer, J.K.1
Fonseca, L.R.C.2
Samavedam, S.B.3
Liang, Y.4
Tobin, P.J.5
White, B.E.6
-
361
-
-
33645217470
-
Symmetrical threshold voltage in complementary metal-oxide-semiconductor field-effect transistors with HfAlOx(N) achieved by adjusting Hf/Al compositional ratio
-
M. Kadoshima, A. Ogawa, H. Ota, K. Iwamoto, M. Takahashi, and N. Mise Symmetrical threshold voltage in complementary metal-oxide-semiconductor field-effect transistors with HfAlOx(N) achieved by adjusting Hf/Al compositional ratio J Appl Phys 99 2006 054506
-
(2006)
J Appl Phys
, vol.99
, pp. 054506
-
-
Kadoshima, M.1
Ogawa, A.2
Ota, H.3
Iwamoto, K.4
Takahashi, M.5
Mise, N.6
-
362
-
-
40549096055
-
Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning
-
P.D. Kirsch, P. Sivasubramani, J. Huang, C.D. Young, M.A. Quevedop-Lopez, and H.C. Wen Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning Appl Phys Lett 92 2008 092901
-
(2008)
Appl Phys Lett
, vol.92
, pp. 092901
-
-
Kirsch, P.D.1
Sivasubramani, P.2
Huang, J.3
Young, C.D.4
Quevedop-Lopez, M.A.5
Wen, H.C.6
-
363
-
-
35548984896
-
Impact of interfacial dipole on effective work function of nickel fully silicided gate electrodes formed on rare-earth-based dielectric interlayers
-
A.E.-J. Lim, W.-W. Fang, F. Liu, R.T.P. Lee, G. Samudra, and D.-L. Kwong Impact of interfacial dipole on effective work function of nickel fully silicided gate electrodes formed on rare-earth-based dielectric interlayers Appl Phys Lett 91 2007 172115
-
(2007)
Appl Phys Lett
, vol.91
, pp. 172115
-
-
Lim, A.E.-J.1
Fang, W.-W.2
Liu, F.3
Lee, R.T.P.4
Samudra, G.5
Kwong, D.-L.6
-
365
-
-
34248655163
-
Application of group electronegativity concepts to the effective work functions of metal gate electrodes on high-κ gate oxides
-
DOI 10.1016/j.mee.2007.04.130, PII S0167931707005023, INFOS 2007
-
J.K. Schaeffer, D.C. Gilmer, C. Capasso, S. Kalpat, B. Taylor, and M.V. Raymond Application of group electronegativity concepts to the effective work functions of metal gate electrodes on high-k gate oxides Microelectron Eng 84 2007 2196 2200 (Pubitemid 46777027)
-
(2007)
Microelectronic Engineering
, vol.84
, Issue.9-10
, pp. 2196-2200
-
-
Schaeffer, J.K.1
Gilmer, D.C.2
Capasso, C.3
Kalpat, S.4
Taylor, B.5
Raymond, M.V.6
Triyoso, D.7
Hegde, R.8
Samavedam, S.B.9
White Jr., B.E.10
-
368
-
-
37749040743
-
Gate first metal-aluminum-nitride PMOS electrodes for 32 nm low standby power applications
-
H.-C. Wen, S.C. Song, C.S. Park, C. Burham, G. Bersuker, and K. Choi Gate first metal-aluminum-nitride PMOS electrodes for 32 nm low standby power applications IEEE Symp VLSI Tech Dig 2007 160 161
-
(2007)
IEEE Symp VLSI Tech Dig
, pp. 160-161
-
-
Wen, H.-C.1
Song, S.C.2
Park, C.S.3
Burham, C.4
Bersuker, G.5
Choi, K.6
-
369
-
-
50349098238
-
Mechanism of Vfb roll-off with high work function metal gate and low temperature oxygen incorporation to achieve PMOS band edge work function
-
S.C. Song, C.S. Park, J. Price, C. B urham, R. Choi, and H.C. Wen Mechanism of Vfb roll-off with high work function metal gate and low temperature oxygen incorporation to achieve PMOS band edge work function IEEE IEDM Tech Dig 2007 337 340
-
(2007)
IEEE IEDM Tech Dig
, pp. 337-340
-
-
Song, S.C.1
Park, C.S.2
Price, J.3
Urham C, B.4
Choi, R.5
Wen, H.C.6
-
372
-
-
33646196716
-
Band offsets of nitrided ultrathin hafnium silicate films
-
N.T. Barrett, O. Renault, P. Besson, Y.L. Tiec, and F. Martin Band offsets of nitrided ultrathin hafnium silicate films Appl Phys Lett 88 2006 162906
-
(2006)
Appl Phys Lett
, vol.88
, pp. 162906
-
-
Barrett, N.T.1
Renault, O.2
Besson, P.3
Tiec, Y.L.4
Martin, F.5
-
373
-
-
57049129311
-
Observation of band bending of metal/high-k Si capacitor with high energy X-ray photoemission spectroscopy and its application to interface dipole measurement
-
K. Kakushima, K. Okamoto, K. Tachi, J. Song, S. Sato, and T. Kawanago Observation of band bending of metal/high-k Si capacitor with high energy X-ray photoemission spectroscopy and its application to interface dipole measurement J Appl Phys 104 2008 104908
-
(2008)
J Appl Phys
, vol.104
, pp. 104908
-
-
Kakushima, K.1
Okamoto, K.2
Tachi, K.3
Song, J.4
Sato, S.5
Kawanago, T.6
-
382
-
-
0033700304
-
Dual-metal gate technology for deep-submicron CMOS transistors
-
Q. Lu, Y.C. Yeo, P. Ranade, H. Takeuchi, T.-J. King, and C. Hu Dual-metal gate technology for deep-submicron CMOS transistors IEEE Symp VLSI Tech Dig 2000 72 73
-
(2000)
IEEE Symp VLSI Tech Dig
, pp. 72-73
-
-
Lu, Q.1
Yeo, Y.C.2
Ranade, P.3
Takeuchi, H.4
King, T.-J.5
Hu, C.6
-
383
-
-
33644772724
-
2 gate dielectric
-
DOI 10.1109/.2005.1469208, 1469208, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
2 gate dielectric IEEE Symp VLSI Tech Dig 2005 50 51 (Pubitemid 43897564)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 50-51
-
-
Zhang, Z.B.1
Song, S.C.2
Huffman, C.3
Barnett, J.4
Moumen, N.5
Alshareef, H.6
Majhi, P.7
Hussain, M.8
Akbar, M.S.9
Sim, J.H.10
Bae, S.H.11
Sassman, B.12
Lee, B.H.13
-
384
-
-
33645688684
-
Metal wet etch issues and effects in dual metal gate stack integration
-
M.M. Hussain, N. Moumen, N. Zhang, and B.F. Womack Metal wet etch issues and effects in dual metal gate stack integration J Electrochem Soc 153 2006 G389 G393
-
(2006)
J Electrochem Soc
, vol.153
-
-
Hussain, M.M.1
Moumen, N.2
Zhang, N.3
Womack, B.F.4
-
385
-
-
0035337187
-
Dual-metal gate CMOS technology with ultrathin silicon nitride gate dielectric
-
DOI 10.1109/55.919237, PII S0741310601039751
-
Y.C. Yeo, Q. Lu, P. Ranade, H. Takeuchi, K.J. Yang, and I. Polishchuk Dual-metal gate CMOS technology with ultrathin silicon nitride gate dielectric IEEE Electron Dev Lett 22 2001 227 229 (Pubitemid 32486881)
-
(2001)
IEEE Electron Device Letters
, vol.22
, Issue.5
, pp. 227-229
-
-
Yeo, Y.-C.1
Lu, Q.2
Ranade, P.3
Takeuchi, H.4
Yang, K.J.5
Polishchuk, I.6
King, T.-J.7
Hu, C.8
Song, S.C.9
Luan, H.F.10
Kwong, D.-L.11
-
387
-
-
0042674259
-
An integratable dual metal gate CMOS process using an ultrathin aluminum nitride buffer layer
-
C.S. Park, B.J. Cho, and D.-L. Kwong An integratable dual metal gate CMOS process using an ultrathin aluminum nitride buffer layer IEEE Electron Dev Lett 24 2003 298 300
-
(2003)
IEEE Electron Dev Lett
, vol.24
, pp. 298-300
-
-
Park, C.S.1
Cho, B.J.2
Kwong, D.-L.3
-
388
-
-
36248941448
-
th adjustment on high-k HfTaON by high-temperature metal intermixing
-
DOI 10.1016/j.sse.2007.09.026, PII S0038110107003280, Papers Selected from the 36th European Solid-State Device Research Conference - ESSDERC'06
-
th adjustment on high-k HfTaON by high-temperature metal intermixing Solid-State Electron 51 2007 1479 1484 (Pubitemid 350138025)
-
(2007)
Solid-State Electronics
, vol.51
, Issue.11-12
, pp. 1479-1484
-
-
Ren, C.1
Chan, D.S.H.2
Loh, W.Y.3
Lo, G.Q.4
Balasubramanian, N.5
Kwong, D.-L.6
-
389
-
-
0036540912
-
Dual work function metal gate CMOS transistors by Ni-Ti interdiffusion
-
DOI 10.1109/55.992838, PII S0741310602028707
-
I. Polishchuk, P. Ranade, T.-J. King, and C. Hu Dual work function metal gate CMOS transistors by Ni-Ti interdiffusion IEEE Electron Dev Lett 23 2002 200 202 (Pubitemid 34504504)
-
(2002)
IEEE Electron Device Letters
, vol.23
, Issue.4
, pp. 200-202
-
-
Polishchuk, I.1
Ranade, P.2
King, T.-J.3
Hu, C.4
-
390
-
-
50549090812
-
Dual-metal-gate transistors with symmetrical threshold voltages using work-function-tuned Ta/Mo bilayer metal gates
-
T. Matsukawa, Y. Liu, K. Endo, M. Masahara, Y. Ishikawa, and H. Yamauchi Dual-metal-gate transistors with symmetrical threshold voltages using work-function-tuned Ta/Mo bilayer metal gates Jpn J Appl Phys 47 2008 2428 2432
-
(2008)
Jpn J Appl Phys
, vol.47
, pp. 2428-2432
-
-
Matsukawa, T.1
Liu, Y.2
Endo, K.3
Masahara, M.4
Ishikawa, Y.5
Yamauchi, H.6
-
391
-
-
0034790245
-
Metal gate work function adjustment for future CMOS technology
-
Q. Lu, R. Lin, P. Ranade, T.-J. King, and C. Hu Metal gate work function adjustment for future CMOS technology IEEE Symp VLSI Tech Dig 2001 45 46 (Pubitemid 32950653)
-
(2001)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 45-46
-
-
Lu, Q.1
Lin, R.2
Ranade, P.3
King, T.-J.4
Hu, C.5
-
393
-
-
0036923255
-
Tunable work function molybdenum gate technology for FDSOI-CMOS
-
P. Ranade, Y.-K. Choi, D. Ha, A. Agarwal, M. Ameen, and T.-J. King Tunable work function molybdenum gate technology for FDSOI-CMOS IEEE IEDM Tech Dig 2002 363 366
-
(2002)
IEEE IEDM Tech Dig
, pp. 363-366
-
-
Ranade, P.1
Choi, Y.-K.2
Ha, D.3
Agarwal, A.4
Ameen, M.5
King, T.-J.6
-
395
-
-
0035364878
-
On the mobility versus drain current relation for a nanoscale MOSFET
-
DOI 10.1109/55.924846, PII S0741310601046663
-
M. Lundstrom On the mobility versus drain current relation for a nanoscale MOSFET IEEE Electron Dev Lett 22 2001 293 295 (Pubitemid 32584999)
-
(2001)
IEEE Electron Device Letters
, vol.22
, Issue.6
, pp. 293-295
-
-
Lundstrom, M.S.1
-
397
-
-
77957723610
-
Modified postannealing of the Ge condensation process for better-strained Si material and devices
-
X. Liu, X. Ma, X. Du, W. Liu, Z. Song, and C. Lin Modified postannealing of the Ge condensation process for better-strained Si material and devices J Vac Sci Technol B 28 2010 1020 1025
-
(2010)
J Vac Sci Technol B
, vol.28
, pp. 1020-1025
-
-
Liu, X.1
Ma, X.2
Du, X.3
Liu, W.4
Song, Z.5
Lin, C.6
-
398
-
-
77957588628
-
Fully depleted strained silicon-on-insulator p-MOSFETs with recessed and embedded silicon-germanium source/drain
-
S. Baudot, F. Andrieu, O. Weber, P. Perreau, J. Damlencourt, and S. Barnola Fully depleted strained silicon-on-insulator p-MOSFETs with recessed and embedded silicon-germanium source/drain IEEE Electron Dev Lett 31 2010 1074 1076
-
(2010)
IEEE Electron Dev Lett
, vol.31
, pp. 1074-1076
-
-
Baudot, S.1
Andrieu, F.2
Weber, O.3
Perreau, P.4
Damlencourt, J.5
Barnola, S.6
-
399
-
-
19944433396
-
Strained Si, SiGe, and Ge channels for high-mobility metal-oxide- semiconductor field-effect transistors
-
M.L. Lee, E.A. Fitzgerald, M.T. Bulsara, M.T. Currie, and A. Lochtefeld Strained Si, SiGe, and Ge channels for high-mobility metal-oxide-semiconductor field-effect transistors J Appl Phys 97 2005 011101
-
(2005)
J Appl Phys
, vol.97
, pp. 011101
-
-
Lee, M.L.1
Fitzgerald, E.A.2
Bulsara, M.T.3
Currie, M.T.4
Lochtefeld, A.5
-
400
-
-
13544276460
-
Prospect of Si semiconductor devices in nanometer era
-
S. Kimura, D. Hisamoto, and N. Sugii Prospect of Si semiconductor devices in nanometer era Hitachi Rev 54 2005 2 8 (Pubitemid 40224986)
-
(2005)
Hitachi Review
, vol.54
, Issue.1
, pp. 2-8
-
-
Kimura, S.1
Hisamoto, D.2
Sugii, N.3
-
401
-
-
0038417865
-
Strained Si CMOS (SS CMOS) technology: Opportunities and challenges
-
K. Rim, R. Anderson, D. Boyd, F. Cardone, K. Chan, and H. Chen Strained Si CMOS (SS CMOS) technology: opportunities and challenges Solid-State Electron 47 2003 1133 1139
-
(2003)
Solid-State Electron
, vol.47
, pp. 1133-1139
-
-
Rim, K.1
Anderson, R.2
Boyd, D.3
Cardone, F.4
Chan, K.5
Chen, H.6
-
402
-
-
0036045608
-
Characteristics and device design of sub-100 nm strained Si N- and PMOSFETs
-
K. Rim, J. Chu, H. Chen, K.A. Jenkins, T. Kanarsky, and K. Lee Characteristics and device design of sub-100 nm strained Si N- and PMOSFETs IEEE Symp VLSI Tech Dig 2002 98 99
-
(2002)
IEEE Symp VLSI Tech Dig
, pp. 98-99
-
-
Rim, K.1
Chu, J.2
Chen, H.3
Jenkins, K.A.4
Kanarsky, T.5
Lee, K.6
-
403
-
-
0041910808
-
High-performance nMOSFETs using a novel strained Si/SiGe CMOS architecture
-
S.H. Olsen, A.G. O'Neill, L.S. Driscoll, K.S.K. Kwa, S. Chattopadhyay, and A.M. Waite High-performance nMOSFETs using a novel strained Si/SiGe CMOS architecture IEEE Trans Electron Dev 50 2003 1961 1969
-
(2003)
IEEE Trans Electron Dev
, vol.50
, pp. 1961-1969
-
-
Olsen, S.H.1
O'Neill, A.G.2
Driscoll, L.S.3
Kwa, K.S.K.4
Chattopadhyay, S.5
Waite, A.M.6
-
404
-
-
59049086315
-
Strained Si/SiGe MOS technology: Improving gate dielectric integrity
-
S.H. Olsen, I. Yan, R. Agaiby, E. Escobedo-Cousin, A.G. O'Neill, and P.-E. Hellström Strained Si/SiGe MOS technology: improving gate dielectric integrity Microelectron Eng 86 2009 218 223
-
(2009)
Microelectron Eng
, vol.86
, pp. 218-223
-
-
Olsen, S.H.1
Yan, I.2
Agaiby, R.3
Escobedo-Cousin, E.4
O'Neill, A.G.5
Hellström, P.-E.6
-
405
-
-
0036923772
-
Performance enhancement on sub-70 nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D
-
B.H. Lee, A. Mocuta, S. Bedell, H. Chen, D. Sadana, and K. Rim Performance enhancement on sub-70 nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D IEEE IEDM Tech Dig 2002 946 948
-
(2002)
IEEE IEDM Tech Dig
, pp. 946-948
-
-
Lee, B.H.1
Mocuta, A.2
Bedell, S.3
Chen, H.4
Sadana, D.5
Rim, K.6
-
406
-
-
0000154265
-
Impact of electron and hole inversion-layer capacitance on low voltage operation of scaled n- and p-MOSFET's
-
S. Takagi, M. Takayanagi, and A. Toriumi Impact of electron and hole inversion-layer capacitance on low voltage operation of scaled n- and p-MOSFET's IEEE Trans Electron Dev 47 2000 999 1005
-
(2000)
IEEE Trans Electron Dev
, vol.47
, pp. 999-1005
-
-
Takagi, S.1
Takayanagi, M.2
Toriumi, A.3
-
407
-
-
67349222362
-
Opportunities and challenges for Ge CMOS-control of interfacing filed on Ge is a key
-
A. Toriumi, T. Tabata, C.H. Lee, T. Nishimura, K. Kita, and K. Nagashio Opportunities and challenges for Ge CMOS-control of interfacing filed on Ge is a key Microelectron Eng 86 2009 1571 1576
-
(2009)
Microelectron Eng
, vol.86
, pp. 1571-1576
-
-
Toriumi, A.1
Tabata, T.2
Lee, C.H.3
Nishimura, T.4
Kita, K.5
Nagashio, K.6
-
408
-
-
45049083001
-
Control of high-k/germanium interface properties through selection of high-k materials and suppression of GeO volatilization
-
K. Kita, T. Takahashi, H. Nomura, S. Suzuki, T. Nishimura, and A. Toriumi Control of high-k/germanium interface properties through selection of high-k materials and suppression of GeO volatilization Appl Surf Sci 254 2008 6100 6105
-
(2008)
Appl Surf Sci
, vol.254
, pp. 6100-6105
-
-
Kita, K.1
Takahashi, T.2
Nomura, H.3
Suzuki, S.4
Nishimura, T.5
Toriumi, A.6
-
409
-
-
37249061772
-
High-k/Ge MOSFETs for future nanoelectronics
-
DOI 10.1016/S1369-7021(07)70350-4, PII S1369702107703504
-
Y. Kamata High-k/Ge MOSFETs for future nanoelectronics Mater Today 11 2008 30 38 (Pubitemid 350266412)
-
(2008)
Materials Today
, vol.11
, Issue.1-2
, pp. 30-38
-
-
Kamata, Y.1
-
410
-
-
46149119210
-
High performance Ge pMOS devices using a Si-compatible process flow
-
P. Zimmerman, G. Nicholas, B.D. Jaeger, B. Kaczer, A. Stesmans, and L.A. Ragnarsson High performance Ge pMOS devices using a Si-compatible process flow IEEE IEDM Tech Dig 2006 655 658
-
(2006)
IEEE IEDM Tech Dig
, pp. 655-658
-
-
Zimmerman, P.1
Nicholas, G.2
Jaeger, B.D.3
Kaczer, B.4
Stesmans, A.5
Ragnarsson, L.A.6
-
411
-
-
36148961661
-
Effects of sulfur passivation on germanium MOS capacitors With HfON gate dielectric
-
DOI 10.1109/LED.2007.907415
-
R. Xie, and C. Zhu Effects of sulfur passivation on germanium MOS capacitors with HfON gate dielectric IEEE Electron Dev Lett 28 2007 976 979 (Pubitemid 350111788)
-
(2007)
IEEE Electron Device Letters
, vol.28
, Issue.11
, pp. 976-979
-
-
Xie, R.1
Zhu, C.2
-
412
-
-
34249719006
-
Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-k oxide/tungsten nitride gate stacks
-
K.H. Kim, R.G. Gordon, A. Ritenour, and D.A. Antoniadis Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-k oxide/tungsten nitride gate stacks Appl Phys Lett 90 2007 212104
-
(2007)
Appl Phys Lett
, vol.90
, pp. 212104
-
-
Kim, K.H.1
Gordon, R.G.2
Ritenour, A.3
Antoniadis, D.A.4
-
413
-
-
67049116017
-
Interface-engineered high-mobility high-k/Ge pMOSFETs with 1-nm equivalent oxide thickness
-
R. Xie, T.H. Phung, W. He, M. Yu, and C. Zhu Interface-engineered high-mobility high-k/Ge pMOSFETs with 1-nm equivalent oxide thickness IEEE Trans Electron Dev 56 2009 1330 1337
-
(2009)
IEEE Trans Electron Dev
, vol.56
, pp. 1330-1337
-
-
Xie, R.1
Phung, T.H.2
He, W.3
Yu, M.4
Zhu, C.5
-
414
-
-
67349197268
-
Interface studies of ALD-grown metal oxide insulators on Ge and III-V semiconductors
-
P.C. McIntyre, Y. Oshima, E. Kim, and K.C. Saraswat Interface studies of ALD-grown metal oxide insulators on Ge and III-V semiconductors Microelectron Eng 86 2009 1536 1539
-
(2009)
Microelectron Eng
, vol.86
, pp. 1536-1539
-
-
McIntyre, P.C.1
Oshima, Y.2
Kim, E.3
Saraswat, K.C.4
-
415
-
-
17944374662
-
Surface passivation using ultrathin AlNx film for Ge-metal-oxide- semiconductor devices with hafnium oxide gate dielectric
-
F. Gao, S.J. Lee, J.S. Pan, L.J. Tang, and D.-L. Kwong Surface passivation using ultrathin AlNx film for Ge-metal-oxide-semiconductor devices with hafnium oxide gate dielectric Appl Phys Lett 86 2005 113501
-
(2005)
Appl Phys Lett
, vol.86
, pp. 113501
-
-
Gao, F.1
Lee, S.J.2
Pan, J.S.3
Tang, L.J.4
Kwong, D.-L.5
-
416
-
-
67650751758
-
3 as passivating interlayer for enhancing the electrical properties and high-field reliability of n-Ge metal-oxide-semiconductor capacitors with high-k HfTiO gate dielectric
-
3 as passivating interlayer for enhancing the electrical properties and high-field reliability of n-Ge metal-oxide-semiconductor capacitors with high-k HfTiO gate dielectric Appl Phys Lett 95 2009 022910
-
(2009)
Appl Phys Lett
, vol.95
, pp. 022910
-
-
Li, C.X.1
Lai, P.T.2
-
418
-
-
77951980235
-
Oxide materials for III-V MOSFET gate stacks
-
M. Cooke Oxide materials for III-V MOSFET gate stacks Semicond Today 3 2008 56 60
-
(2008)
Semicond Today
, vol.3
, pp. 56-60
-
-
Cooke, M.1
-
419
-
-
33846611741
-
85nm gate length enhancement and depletion mode InSb quantum well transistors for ultra high speed and very low power digital logic applications
-
1609466, IEEE International Electron Devices Meeting, 2005 IEDM - Technical Digest
-
S. Datta, T. Ashley, J. Brask, L. Buckle, M. Doczy, and M. Emeny 85 nm gate length enhancement and depletion mode InSb quantum well transistors for ultra high speed and very low power digital logic applications IEEE IEDM Tech Dig 2005 763 766 (Pubitemid 46370962)
-
(2005)
Technical Digest - International Electron Devices Meeting, IEDM
, vol.2005
, pp. 763-766
-
-
Datta, S.1
Ashley, T.2
Brask, J.3
Buckle, L.4
Doczy, M.5
Emeny, M.6
Hayes, D.7
Hilton, K.8
Jefferies, R.9
Martin, T.10
Phillips, T.J.11
Wallis, D.12
Wilding, P.13
Chau, R.14
-
420
-
-
0036714908
-
Self-aligned GaAs p-channel enhancement mode MOS heterostructure field-effect transistor
-
DOI 10.1109/LED.2002.802591, PII 1011092002802591
-
M. Passlack, J.K. Abrokwah, R. Droopad, Z. Yu, C. Overgaard, and S.I. Yi Self-aligned GaAs p-channel enhancement mode MOS heterostructure field-effect transistor IEEE Electron Dev Lett 23 2002 508 510 (Pubitemid 35022938)
-
(2002)
IEEE Electron Device Letters
, vol.23
, Issue.9
, pp. 508-510
-
-
Passlack, M.1
Abrokwah, J.K.2
Droopad, R.3
Yu, Z.4
Overgaard, C.5
Yi, S.I.6
Hale, M.7
Sexton, J.8
Kummel, A.C.9
-
422
-
-
27844482427
-
3 on the hydroxylated GaAs(001)-4 × 2 surface
-
DOI 10.1088/0953-8984/17/48/005, PII S0953898405066701
-
3on the hydroxylated GaAs (0 0 1)-4 × 2 surface J Phys: Condens Matter 17 2005 7517 7522 (Pubitemid 41640725)
-
(2005)
Journal of Physics Condensed Matter
, vol.17
, Issue.48
, pp. 7517-7522
-
-
Lu, H.-L.1
Chen, W.2
Ding, S.-J.3
Xu, M.4
Zhang, D.W.5
Wang, L.-K.6
-
428
-
-
0028747841
-
On the universality of inversion layer mobility in Si MOSFET's: Part i - Effects of substrate impurity concentration
-
S. Takagi, A. Toriumi, M. Iwase, and H. Tango On the universality of inversion layer mobility in Si MOSFET's: Part I - effects of substrate impurity concentration IEEE Trans Electron Dev 41 1994 2357 2362
-
(1994)
IEEE Trans Electron Dev
, vol.41
, pp. 2357-2362
-
-
Takagi, S.1
Toriumi, A.2
Iwase, M.3
Tango, H.4
-
429
-
-
10844253101
-
Silicon device scaling to the sub-10-nm regime
-
DOI 10.1126/science.1100731
-
M. Leong, B. Doris, J. Kedzierski, K. Rim, and M. Yang Silicon device scaling to the sub-10-nm regime Science 306 2004 2057 2060 (Pubitemid 40007650)
-
(2004)
Science
, vol.306
, Issue.5704
, pp. 2057-2060
-
-
Ieong, M.1
Doris, B.2
Kedzierski, J.3
Rim, K.4
Yang, M.5
-
430
-
-
0038104277
-
High performance fully-depleted tri-gate CMOS transistors
-
B.S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, and J. Kavalieros High performance fully-depleted tri-gate CMOS transistors IEEE Electron Dev Lett 24 2003 263 265
-
(2003)
IEEE Electron Dev Lett
, vol.24
, pp. 263-265
-
-
Doyle, B.S.1
Datta, S.2
Doczy, M.3
Hareland, S.4
Jin, B.5
Kavalieros, J.6
-
431
-
-
0035943358
-
Highly polarized photoluminescence and photodetection from single indium phosphide nanowires
-
DOI 10.1126/science.1062340
-
J. Wang, M.S. Gudiksen, X. Duan, Y. Cui, and C.M. Lieber Highly polarized photoluminescence and photodetection from single indium phosphide nanowires Science 293 2001 1455 1457 (Pubitemid 32801542)
-
(2001)
Science
, vol.293
, Issue.5534
, pp. 1455-1457
-
-
Wang, J.1
Gudiksen, M.S.2
Duan, X.3
Cui, Y.4
Lieber, C.M.5
-
432
-
-
0036974829
-
High-k dielectrics for advanced carbon-nanotube transistors and logic gates
-
A. Javey, H. Kim, M. Brink, Q. Wang, A. Ural, and J. Guo High-k dielectrics for advanced carbon-nanotube transistors and logic gates Nat Mater 1 2002 241 246
-
(2002)
Nat Mater
, vol.1
, pp. 241-246
-
-
Javey, A.1
Kim, H.2
Brink, M.3
Wang, Q.4
Ural, A.5
Guo, J.6
-
433
-
-
33745327664
-
Ge/Si nanowire heterostructures as high-performance field-effect transistors
-
DOI 10.1038/nature04796, PII NATURE04796
-
J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan, and C.M. Lieber Ge/Si nanowire heterostructures as high-performance field-effect transistors Nature 441 2006 489 493 (Pubitemid 44050147)
-
(2006)
Nature
, vol.441
, Issue.7092
, pp. 489-493
-
-
Xiang, J.1
Lu, W.2
Hu, Y.3
Wu, Y.4
Yan, H.5
Lieber, C.M.6
-
434
-
-
34047143923
-
Layer-by-layer assembly of nanowires for three-dimensional, multifunctional electronics
-
DOI 10.1021/nl063056l
-
A. Javey, S. Nam, R.S. Friedman, H. Yan, and C.M. Lieber Layer-by-layer assembly of nanowires for three-dimensional, multifunctional electronics Nano Lett 7 2007 773 777 (Pubitemid 46516064)
-
(2007)
Nano Letters
, vol.7
, Issue.3
, pp. 773-777
-
-
Javey, A.1
Nam, S.2
Friedman, R.S.3
Yan, H.4
Lieber, C.M.5
-
435
-
-
34547245424
-
Optical switching of porphyrin-coated silicon nanowire field effect transistors
-
DOI 10.1021/nl0630485
-
C.B. Winkelmann, I. Ionica, X. Chevalier, G. Royal, C. Bucher, and V. Bouchiat Optical switching of porphyrin-coated silicon nanowire field effect transistors Nano Lett 7 2007 1454 1458 (Pubitemid 47140405)
-
(2007)
Nano Letters
, vol.7
, Issue.6
, pp. 1454-1458
-
-
Winkelmann, C.B.1
Ionica, I.2
Chevalier, X.3
Royal, G.4
Bucher, C.5
Bouchiat, V.6
-
437
-
-
33947405274
-
High performance ZnO nanowire field effect transistors with organic gate nanodielectrics: Effects of metal contacts and ozone treatment
-
S. Ju, K. Lee, M.-H. Yoon, A. Facchetti, T.J. Mark, and D.B. Janes High performance ZnO nanowire field effect transistors with organic gate nanodielectrics: effects of metal contacts and ozone treatment Nanotechnology 18 2007 155201
-
(2007)
Nanotechnology
, vol.18
, pp. 155201
-
-
Ju, S.1
Lee, K.2
Yoon, M.-H.3
Facchetti, A.4
Mark, T.J.5
Janes, D.B.6
-
438
-
-
1642487759
-
Carbon nanotube field-effect transistors with integrated ohmic contacts and high-κ gate dielectrics
-
DOI 10.1021/nl035185x
-
A. Javey, J. Guo, D.B. Farmer, Q. Wang, D. Wang, and R.G. Gordon Carbon nanotube field-effect transistors with integrated ohmic contacts and high-k gate dielectrics Nano Lett 4 2004 447 450 (Pubitemid 38402631)
-
(2004)
Nano Letters
, vol.4
, Issue.3
, pp. 447-450
-
-
Javey, A.1
Guo, J.2
Farmer, D.B.3
Wang, Q.4
Wang, D.5
Gordon, R.G.6
Lundstrom, M.7
Dai, H.8
-
439
-
-
38049046571
-
Calibration method for a carbon nanotube field-effect transistor biosensor
-
M. Abe, K. Murata, T. Ataka, and K. Matsumoto Calibration method for a carbon nanotube field-effect transistor biosensor Nanotechnology 19 2008 045505
-
(2008)
Nanotechnology
, vol.19
, pp. 045505
-
-
Abe, M.1
Murata, K.2
Ataka, T.3
Matsumoto, K.4
-
440
-
-
34249894702
-
Infrared detection with silicon nano-field-effect transistors
-
K. Nishiguchi, Y. Ono, A. Fujiwara, H. Yamaguchi, H. Inokawa, and Y. Takahashi Infrared detection with silicon nano-field-effect transistors Appl Phys Lett 90 2007 223108
-
(2007)
Appl Phys Lett
, vol.90
, pp. 223108
-
-
Nishiguchi, K.1
Ono, Y.2
Fujiwara, A.3
Yamaguchi, H.4
Inokawa, H.5
Takahashi, Y.6
-
441
-
-
35548943992
-
Efficient visible light detection using individual germanium nanowire field effect transistors
-
Y.H. Ahn, and J. Park Efficient visible light detection using individual germanium nanowire field effect transistors Appl Phys Lett 91 2007 162102
-
(2007)
Appl Phys Lett
, vol.91
, pp. 162102
-
-
Ahn, Y.H.1
Park, J.2
-
442
-
-
33646871354
-
Moore's law: The future of Si microelectronics
-
DOI 10.1016/S1369-7021(06)71539-5, PII S1369702106715395
-
S.E. Thompson, and S. Parthasarathy Moore's law: the future of Si microelectronics Mater Today 9 2006 20 25 (Pubitemid 43783458)
-
(2006)
Materials Today
, vol.9
, Issue.6
, pp. 20-25
-
-
Thompson, S.E.1
Parthasarathy, S.2
|