메뉴 건너뛰기




Volumn , Issue , 2010, Pages

Nanometer CMOS

Author keywords

[No Author keywords available]

Indexed keywords


EID: 78650022025     PISSN: None     EISSN: None     Source Type: Book    
DOI: 10.4032/9789814241229     Document Type: Book
Times cited : (70)

References (492)
  • 1
    • 84882006035 scopus 로고    scopus 로고
    • ENIAC: The triumphs and tragedies, Berkley Trade
    • S. McCartney, ENIAC: The triumphs and tragedies, Berkley Trade (2001).
    • (2001)
    • McCartney, S.1
  • 2
    • 84882028771 scopus 로고    scopus 로고
    • http://www.seas.upenn.edu/_museum/
  • 3
    • 84881993600 scopus 로고    scopus 로고
    • http://ftp.arl.mil/_mike/comphist/61ordnance/app1.html.
  • 4
    • 84881995632 scopus 로고
    • Method and apparatus for controlling electric currents, U.S. Patent 1 745 175, filed 8 Oct. 1926, granted 18 Jan
    • J. E. Lilienfeld, Method and apparatus for controlling electric currents, U.S. Patent 1 745 175, filed 8 Oct. 1926, granted 18 Jan. 1930.
    • (1930)
    • Lilienfeld, J.E.1
  • 7
    • 84882009361 scopus 로고
    • Improvements in or relating to electrical amplifiers and other control arrangements and devices, British Patent 439 457, filed 4 Mar. 1935, granted 6 Dec
    • O. Heil, Improvements in or relating to electrical amplifiers and other control arrangements and devices, British Patent 439 457, filed 4 Mar. 1935, granted 6 Dec. 1935.
    • (1935)
    • Heil, O.1
  • 8
    • 84922652843 scopus 로고
    • The path to the conception of the junction transistor
    • W. B. Shockley, The path to the conception of the junction transistor, IEEE Trans. Electron Dev. 23, 597-620 (1976).
    • (1976) IEEE Trans. Electron Dev. , vol.23 , pp. 597-620
    • Shockley, W.B.1
  • 9
    • 0031672576 scopus 로고    scopus 로고
    • The naming of the transistor
    • J. P. Pierce, The naming of the transistor, Proc. IEEE 86, 37-45 (1998).
    • (1998) Proc. IEEE , vol.86 , pp. 37-45
    • Pierce, J.P.1
  • 10
    • 30444438696 scopus 로고    scopus 로고
    • How Europe missed the transistor
    • November
    • M. Riordan, How Europe missed the transistor, IEEE Spectrum 42, 46-51 (November 2005).
    • (2005) IEEE Spectrum , vol.42 , pp. 46-51
    • Riordan, M.1
  • 12
    • 0008387467 scopus 로고
    • Variable capacitance with large capacity change
    • J. Moll, Variable capacitance with large capacity change, Proc. WESCON pt. 3, 32-36 (1959).
    • (1959) Proc. WESCON , Issue.PART. 3 , pp. 32-36
    • Moll, J.1
  • 14
    • 85052603488 scopus 로고
    • Nanowatt logic using field-effectmetaloxide semiconductor triodes
    • F.M.Wanlass and C. T. Sah, Nanowatt logic using field-effectmetaloxide semiconductor triodes, Dig. ISSCC, 32-33 (1963).
    • (1963) Dig. ISSCC , pp. 32-33
    • Wanlass, F.M.1    Sah, C.T.2
  • 15
    • 0042062210 scopus 로고    scopus 로고
    • RF MOSFET: Recent advances, current status and future trends
    • J. J. Liou and F. Schwierz, RF MOSFET: Recent advances, current status and future trends, Solid-State Electron. 47, 1881-1895 (2003).
    • (2003) Solid-State Electron , vol.47 , pp. 1881-1895
    • Liou, J.J.1    Schwierz, F.2
  • 16
    • 84882005629 scopus 로고    scopus 로고
    • The chip: How two americans invented the microchip and launched a revolution, Random House
    • T. R. Reid, The chip: How two americans invented the microchip and launched a revolution, Random House (2001).
    • (2001)
    • Reid, T.R.1
  • 17
    • 0000793139 scopus 로고
    • Cramming more components onto integrated circuits
    • 19 April
    • G. E. Moore, Cramming more components onto integrated circuits, Electronics 38, 114-117 (19 April 1965).
    • (1965) Electronics , vol.38 , pp. 114-117
    • Moore, G.E.1
  • 18
    • 0002007506 scopus 로고
    • Progress in digital electronics
    • G. E. Moore, Progress in digital electronics, Tech. Dig. IEDM, 11-13 (1975).
    • (1975) Tech. Dig. IEDM , pp. 11-13
    • Moore, G.E.1
  • 19
    • 0029236473 scopus 로고
    • Lithography and the future of Moore's law
    • G. E. Moore, Lithography and the future of Moore's law, Proc. SPIE 2440, 2-17 (1995).
    • (1995) Proc. SPIE , vol.2440 , pp. 2-17
    • Moore, G.E.1
  • 20
    • 0038645647 scopus 로고    scopus 로고
    • No exponential is forever: But "forever" can be delayed!
    • G. E. Moore, No exponential is forever: But "forever" can be delayed!, Dig. ISSCC, 20-23 (2003).
    • (2003) Dig. ISSCC , pp. 20-23
    • Moore, G.E.1
  • 21
    • 84881999954 scopus 로고    scopus 로고
    • It should be noted that the actual numbers taken from different sourcesmay differ slightly for a certain year. The general trend, however, is supported by all sources
    • The data have been compiled from different sources. It should be noted that the actual numbers taken from different sourcesmay differ slightly for a certain year. The general trend, however, is supported by all sources.
    • The data have been compiled from different sources
  • 22
    • 0002724860 scopus 로고    scopus 로고
    • Physics and the information revolution
    • J. Birnbaum and R. S.Williams, Physics and the information revolution, Physics Today 53, 38-42 (2000).
    • (2000) Physics Today , vol.53 , pp. 38-42
    • Birnbaum, J.1    Williams, R.S.2
  • 23
    • 84881980597 scopus 로고    scopus 로고
    • The dramatic shift to semiconductor foundries
    • E. Ross, The dramatic shift to semiconductor foundries, Future Fab Int. 11 (2001), available at http://www.future-fab.com/documents. asp?grID=208&d ID=618.
    • (2001) Future Fab Int , vol.11
    • Ross, E.1
  • 24
    • 84882033844 scopus 로고    scopus 로고
    • Exponential trends in the integrated circuit industry
    • S. W. Jones, Exponential trends in the integrated circuit industry, available at http://www.icknowledge.com/trends/Exponential2. pdf.
    • Jones, S.W.1
  • 25
    • 84882041298 scopus 로고    scopus 로고
    • Intel nanotechnology virtual open house
    • P. Gargini, Intel nanotechnology virtual open house (2004), available at http://www.intel.com/technology/silicon/post CMOS. htm.
    • (2004)
    • Gargini, P.1
  • 26
    • 84882019065 scopus 로고
    • The National Technology Roadmap for Semiconductors NTRS, 1994, 1997 Editions, Semiconductor Industry Association
    • The National Technology Roadmap for Semiconductors NTRS, 1992, 1994, 1997 Editions, Semiconductor Industry Association
    • (1992)
  • 27
    • 84881983874 scopus 로고    scopus 로고
    • The International Technology Roasmap for Semiconductors ITRS, 2001, 2003, 2005, 2007 Editions, Semiconductor Industry Association
    • The International Technology Roasmap for Semiconductors ITRS, 1999, 2001, 2003, 2005, 2007 Editions, Semiconductor Industry Association. See also at http://www.itrs.net/reports.html.
    • (1999)
  • 28
    • 84881984737 scopus 로고    scopus 로고
    • Strategic Research Agenda, European Nanoelectronics Initiative Advisory Council
    • Strategic Research Agenda, European Nanoelectronics Initiative Advisory Council, (2007). See also at: http://www. Eniac.eu/web/ SRA/local index.php.
    • (2007)
  • 29
    • 0036923554 scopus 로고    scopus 로고
    • Extreme scaling with ultra-thin Si channel MOSFETs
    • B. Doris, M. Ieong et al., Extreme scaling with ultra-thin Si channel MOSFETs, Tech. Dig. IEDM, 267-270 (2002).
    • (2002) Tech. Dig. IEDM , pp. 267-270
    • Doris, B.1    Ieong, M.2
  • 30
    • 17644447603 scopus 로고    scopus 로고
    • Sub-10-nm planar-bulk-CMOS devices using lateral junction control
    • H. Wakabayashi, S. Yamagami et al., Sub-10-nm planar-bulk-CMOS devices using lateral junction control, Tech. Dig. IEDM, 989-991 (2003).
    • (2003) Tech. Dig. IEDM , pp. 989-991
    • Wakabayashi, H.1    Yamagami, S.2
  • 31
    • 84882046403 scopus 로고    scopus 로고
    • Forty years of feature-size predictions
    • C. Svensson, Forty years of feature-size predictions, Dig. ISSCC, S28-S29 (2003).
    • (2003) Dig. ISSCC
    • Svensson, C.1
  • 32
    • 84882017334 scopus 로고    scopus 로고
    • The DC performance of nanometer MOSFETs: Targets versus reality
    • F. Schwierz, The DC performance of nanometer MOSFETs: Targets versus reality, Extended Abstracts SSDM, 610-611 (2005).
    • (2005) Extended Abstracts SSDM , pp. 610-611
    • Schwierz, F.1
  • 33
    • 33748582367 scopus 로고    scopus 로고
    • Silicon CMOS devices beyond scaling
    • W. Haensch, E. J. Nowak et al., Silicon CMOS devices beyond scaling, IBM J. Res. & Dev. 50, 339-361 (2006).
    • (2006) IBM J. Res. & Dev. , vol.50 , pp. 339-361
    • Haensch, W.1    Nowak, E.J.2
  • 34
    • 0032683840 scopus 로고    scopus 로고
    • Growth and characterization of ultrathin nitrided silicon oxide films
    • E. P. Gusev, H.-C. Lu et al., Growth and characterization of ultrathin nitrided silicon oxide films, IBMJ. Res. & Dev. 43, 265-286 (1999).
    • (1999) IBMJ. Res. & Dev. , vol.43 , pp. 265-286
    • Gusev, E.P.1    Lu, H.-C.2
  • 35
    • 0027879328 scopus 로고
    • High performance 0.1 μmCMOS devices with 1.5 V power supply
    • Y. Taur, S.Wind et al., High performance 0.1 μmCMOS devices with 1.5 V power supply, Tech. Dig. IEDM, 127-130 (1993).
    • (1993) Tech. Dig. IEDM , pp. 127-130
    • Taur, Y.1    Wind, S.2
  • 36
    • 84886447961 scopus 로고    scopus 로고
    • CMOS devices below 0.1 μm: How high will performance go?
    • Y. Taur and E. J. Nowak, CMOS devices below 0.1 μm: How high will performance go?, Tech. Dig. IEDM, 215-218 (1997).
    • (1997) Tech. Dig. IEDM , pp. 215-218
    • Taur, Y.1    Nowak, E.J.2
  • 37
    • 0036508380 scopus 로고    scopus 로고
    • SOI technology for the GHz era
    • G. G. Shadidi, SOI technology for the GHz era, IBM. J. Res. & Dev. 46, 121-131 (2002).
    • (2002) IBM. J. Res. & Dev. , vol.46 , pp. 121-131
    • Shadidi, G.G.1
  • 38
    • 33646090139 scopus 로고    scopus 로고
    • Fundamentals of silicon material properties for successful exploitation of strain engineering in modern CMOSmanufacturing
    • P. R. Chidabaram, C. Bowen et al., Fundamentals of silicon material properties for successful exploitation of strain engineering in modern CMOSmanufacturing, IEEE Trans. Electron Dev. 53, 944-964 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 944-964
    • Chidabaram, P.R.1    Bowen, C.2
  • 39
    • 33646043420 scopus 로고    scopus 로고
    • Uniaxial-process-induced strained-Si: Extending the CMOS roadmap
    • S. E. Thompson, G. Sun et al., Uniaxial-process-induced strained-Si: Extending the CMOS roadmap, IEEE Trans. Electron Dev. 53, 1010-1020 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 1010-1020
    • Thompson, S.E.1    Sun, G.2
  • 40
    • 0842331405 scopus 로고    scopus 로고
    • Channel structure design, fabrication and carrier transport properties of strained-Si/SiGe-on-insulator (strained-SOI) MOSFETs
    • S. Takagi, T. Mizuno et al., Channel structure design, fabrication and carrier transport properties of strained-Si/SiGe-on-insulator (strained-SOI) MOSFETs, Tech. Dig. IEDM, 57-60 (2003).
    • (2003) Tech. Dig. IEDM , pp. 57-60
    • Takagi, S.1    Mizuno, T.2
  • 41
    • 35348909664 scopus 로고    scopus 로고
    • The high-k solution
    • October
    • M. T. Bohr, R. S. Chau et al., The high-k solution, IEEE Spectrum 44, 29-35 (October 2007).
    • (2007) IEEE Spectrum , vol.44 , pp. 29-35
    • Bohr, M.T.1    Chau, R.S.2
  • 42
    • 50249185641 scopus 로고    scopus 로고
    • A 45nm logic technology with high-k + metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging
    • K. Mistry, C. Allen et al., A 45nm logic technology with high-k + metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging, Tech. Dig. IEDM, 247-250 (2007).
    • (2007) Tech. Dig. IEDM , pp. 247-250
    • Mistry, K.1    Allen, C.2
  • 43
    • 84881994554 scopus 로고    scopus 로고
    • http://www.intel.com/technology/silicon/45nm technology.htm.
  • 44
    • 4544267525 scopus 로고    scopus 로고
    • Physics in Fermi level pinning at the polySi/Hf-based high-k oxide interface
    • K. Shiraishi, K. Yamada et al., Physics in Fermi level pinning at the polySi/Hf-based high-k oxide interface, VLSI Technol. Dig. 108-109 (2004).
    • (2004) VLSI Technol. Dig , pp. 108-109
    • Shiraishi, K.1    Yamada, K.2
  • 45
    • 2942702306 scopus 로고    scopus 로고
    • High-k/metal-gate stack and its MOSFET characteristics
    • R. Chau, S. Datta et al., High-k/metal-gate stack and its MOSFET characteristics, IEEE Electron Device Lett. 25, 408-410 (2004).
    • (2004) IEEE Electron Device Lett , vol.25 , pp. 408-410
    • Chau, R.1    Datta, S.2
  • 46
    • 18144411273 scopus 로고    scopus 로고
    • 45 nm faces the risks and rewards of new materials
    • January
    • P. Singer, 45 nm faces the risks and rewards of new materials, Semicond. Int. 28, 46-50, (January 2005).
    • (2005) Semicond. Int. , vol.28 , pp. 46-50
    • Singer, P.1
  • 47
    • 33646057281 scopus 로고    scopus 로고
    • Highly manufacturable advanced gatestack technology for sub-45-nm self-aligned gate-first CMOSFETs
    • S.-C. Song, Z. Zhang et al., Highly manufacturable advanced gatestack technology for sub-45-nm self-aligned gate-first CMOSFETs, IEEE Trans. Electron Dev. 53, 979-989 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 979-989
    • Song, S.-C.1    Zhang, Z.2
  • 48
    • 84886447996 scopus 로고    scopus 로고
    • Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel
    • H.-S. P. Wong, K. K. Chan et al., Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel, Tech. Dig. IEDM, 427-430 (1997).
    • (1997) Tech. Dig. IEDM , pp. 427-430
    • Wong, H.-S.1    Chan, K.K.2
  • 49
    • 0035714368 scopus 로고    scopus 로고
    • Triple-self-aligned, planar double-gate MOSFETs: Devices and circuits
    • K. W. Guarini, P. M. Solomon et al., Triple-self-aligned, planar double-gate MOSFETs: Devices and circuits, Tech. Dig. IEDM, 425-428 (2001).
    • (2001) Tech. Dig. IEDM , pp. 425-428
    • Guarini, K.W.1    Solomon, P.M.2
  • 50
    • 0036163060 scopus 로고    scopus 로고
    • Nanoscale CMOS spacer FinFET for the terabit era
    • Y. K. Choi, T. J. King, and C.M.Hu, Nanoscale CMOS spacer FinFET for the terabit era, IEEE Electron Device Lett. 23, 25-27 (2002).
    • (2002) IEEE Electron Device Lett , vol.23 , pp. 25-27
    • Choi, Y.K.1    King, T.J.2    Hu, C.M.3
  • 51
    • 29044440093 scopus 로고    scopus 로고
    • FinFET - A self-aligned double-gate MOSFET scalable to 20 nm
    • D. Hisamoto, W.-C. Lee et al., FinFET - A self-aligned double-gate MOSFET scalable to 20 nm, IEEE Trans. Electron Dev. 47, 2320-2325 (2000).
    • (2000) IEEE Trans. Electron Dev. , vol.47 , pp. 2320-2325
    • Hisamoto, D.1    Lee, W.-C.2
  • 52
    • 0034453372 scopus 로고    scopus 로고
    • 50-nm vertical sidewall transistors with high channel doping concentrations, Tech. Dig. IEDM
    • T. Schulz, W. Röner et al., 50-nm vertical sidewall transistors with high channel doping concentrations, Tech. Dig. IEDM, 61-64, (2000).
    • (2000) , pp. 61-64
    • Schulz, T.1    Röner, W.2
  • 53
    • 0036642887 scopus 로고    scopus 로고
    • Planar and vertical double gate concepts
    • T. Schulz, W. Rösner et al., Planar and vertical double gate concepts, Solid-State Electron. 46, 985-989 (2002).
    • (2002) Solid-State Electron , vol.46 , pp. 985-989
    • Schulz, T.1    Rösner, W.2
  • 54
    • 0033115380 scopus 로고    scopus 로고
    • Nanoscale CMOS
    • H.-S. P. Wong, D. J. Frank et al., Nanoscale CMOS, Proc. IEEE 87, 537-570 (1999).
    • (1999) Proc. IEEE , vol.87 , pp. 537-570
    • Wong, H.-S.1    Frank, D.J.2
  • 55
    • 0038104277 scopus 로고    scopus 로고
    • High performance fully-depleted tri-Gate CMOS transistors
    • B. S. Doyle, S. Datta et al., High performance fully-depleted tri-Gate CMOS transistors, IEEE Electron Device Lett. 24, 263-265 (2003).
    • (2003) IEEE Electron Device Lett , vol.24 , pp. 263-265
    • Doyle, B.S.1    Datta, S.2
  • 56
    • 0038020059 scopus 로고    scopus 로고
    • Influence of channel width on n- and p-type nano-wire-MOSFETs on silicon on insulator substrate
    • M. Lemme, T. Mollenhauer et al., Influence of channel width on n- and p-type nano-wire-MOSFETs on silicon on insulator substrate, Microelectron. Eng. 67-68, 810-817 (2003).
    • (2003) Microelectron. Eng , vol.67-68 , pp. 810-817
    • Lemme, M.1    Mollenhauer, T.2
  • 57
    • 46049119669 scopus 로고    scopus 로고
    • Ultra-narrow silicon nanowire gate-allaround CMOS devices: Impact of diameter, channel-orientation and low temperature on device performance
    • paper 20.4
    • N. Singh, F. Y. Lim et al., Ultra-narrow silicon nanowire gate-allaround CMOS devices: Impact of diameter, channel-orientation and low temperature on device performance, Tech. Dig. IEDM, paper 20.4 (2006).
    • (2006) Tech. Dig. IEDM
    • Singh, N.1    Lim, F.Y.2
  • 58
    • 33646731384 scopus 로고    scopus 로고
    • Design optimization of gate-all-around (GAA) MOSFETs
    • J.-Y. Song; W. Y. Choi et al., Design optimization of gate-all-around (GAA) MOSFETs, IEEE Trans. Nanotechnol. 5, 186-191 (2006).
    • (2006) IEEE Trans. Nanotechnol. , vol.5 , pp. 186-191
    • Song, J.-Y.1    Choi, W.Y.2
  • 59
    • 46149119210 scopus 로고    scopus 로고
    • High performance Ge pMOS devices using a Si-compatible process flow
    • P. Zimmermann, G. Nicholas et al., High performance Ge pMOS devices using a Si-compatible process flow, Tech. Dig. IEDM, 655-658 (2006).
    • (2006) Tech. Dig. IEDM , pp. 655-658
    • Zimmermann, P.1    Nicholas, G.2
  • 60
    • 50249091022 scopus 로고    scopus 로고
    • Interface-engineered Ge (100) and (111) n- and p-FETs with high mobility
    • D. Kuzum, A. J. Pethe et al., Interface-engineered Ge (100) and (111) n- and p-FETs with high mobility, Tech. Dig. IEDM, 723-726 (2007).
    • (2007) Tech. Dig. IEDM , pp. 723-726
    • Kuzum, D.1    Pethe, A.J.2
  • 61
    • 50249172840 scopus 로고    scopus 로고
    • High mobility MOSFETs for RF and digital applications
    • M. Passlack, P. Zurcher et al., High mobility MOSFETs for RF and digital applications, Tech. Dig. IEDM, 621-624 (2007).
    • (2007) Tech. Dig. IEDM , pp. 621-624
    • Passlack, M.1    Zurcher, P.2
  • 62
    • 49149131108 scopus 로고    scopus 로고
    • Heterogeneous integration of enhancement mode In0.7Ga0.3As quantum well transistor on silicon substrate using thin (_ 2 μm) composite buffer architecture for highspeed and low-voltage (0.5V) logic applications
    • M. K. Hudait, G. Dewey et al., Heterogeneous integration of enhancement mode In0.7Ga0.3As quantum well transistor on silicon substrate using thin (_ 2 μm) composite buffer architecture for highspeed and low-voltage (0.5V) logic applications, Tech. Dig. IEDM, 625-628, (2007).
    • (2007) Tech. Dig. IEDM , pp. 625-628
    • Hudait, M.K.1    Dewey, G.2
  • 63
    • 48649096271 scopus 로고    scopus 로고
    • Logic performance of 40 nm InAs HEMTs
    • D.-H. Kim and J. A. del Alamo, Logic performance of 40 nm InAs HEMTs, Tech. Dig. IEDM, 629-632 (2007).
    • (2007) Tech. Dig. IEDM , pp. 629-632
    • Kim, D.-H.1    del Alamo, J.A.2
  • 64
    • 33846611741 scopus 로고    scopus 로고
    • 85 nm gate length enhancement and depletion mode quantum well transistors for ultra high speed and very low power digital logic
    • S. Datta, T. Ashley et al., 85 nm gate length enhancement and depletion mode quantum well transistors for ultra high speed and very low power digital logic, Tech. Dig. IEDM, 763-766 (2005).
    • (2005) Tech. Dig. IEDM , pp. 763-766
    • Datta, S.1    Ashley, T.2
  • 65
    • 41749085181 scopus 로고    scopus 로고
    • A simulation study of the switching times of 22- and 17-nm gate-length SOI nFETs on high mobility substrates and Si
    • S. E. Laux, A simulation study of the switching times of 22- and 17-nm gate-length SOI nFETs on high mobility substrates and Si, IEEE Trans. Electron Dev. 54, 2304-2320 (2007).
    • (2007) IEEE Trans. Electron Dev. , vol.54 , pp. 2304-2320
    • Laux, S.E.1
  • 66
    • 41749110294 scopus 로고    scopus 로고
    • Theoretical study of some physical aspects of electronic transport in nMOSFETs at the 10-nm gate length
    • M. V. Fischetti, T. P. O'Regan et al., Theoretical study of some physical aspects of electronic transport in nMOSFETs at the 10-nm gate length, IEEE Trans. Electron Dev. 54, 2116-2136 (2007).
    • (2007) IEEE Trans. Electron Dev. , vol.54 , pp. 2116-2136
    • Fischetti, M.V.1    O'Regan, T.P.2
  • 67
    • 50249158622 scopus 로고    scopus 로고
    • Performance analysis of III-V materials in a double-gate nano-MOSFET
    • K. D. Cantley, Y. Liu et al., Performance analysis of III-V materials in a double-gate nano-MOSFET, Tech. Dig. IEDM, 113-116 (2007).
    • (2007) Tech. Dig. IEDM , pp. 113-116
    • Cantley, K.D.1    Liu, Y.2
  • 68
    • 34247589618 scopus 로고    scopus 로고
    • Investigation of the performance limits of III-V double-gate n-MOSFETs
    • A. Pethe, T. Krishnamohan et al., Investigation of the performance limits of III-V double-gate n-MOSFETs, Tech. Dig. IEDM, 619-622 (2005).
    • (2005) Tech. Dig. IEDM , pp. 619-622
    • Pethe, A.1    Krishnamohan, T.2
  • 69
    • 34547620506 scopus 로고    scopus 로고
    • Heterogeneous InSb quantum well transistors on silicon for ultra-high speed, low power logic applications
    • T. Ashley, L. Buckle et al., Heterogeneous InSb quantum well transistors on silicon for ultra-high speed, low power logic applications, Electron. Lett. 43, 777-779 (2007).
    • (2007) Electron. Lett. , vol.43 , pp. 777-779
    • Ashley, T.1    Buckle, L.2
  • 70
    • 0029292445 scopus 로고
    • CMOS scaling for high performance and low power - The next ten years
    • B. Davari, R. H. Dennard, and G. G. Shadidi, CMOS scaling for high performance and low power - The next ten years, Proc. IEEE 83, 595-606 (1995).
    • (1995) Proc. IEEE , vol.83 , pp. 595-606
    • Davari, B.1    Dennard, R.H.2    Shadidi, G.G.3
  • 71
    • 0031122158 scopus 로고    scopus 로고
    • CMOS scaling into the nanometer regime
    • Y. Taur, D. A. Buchanan et al., CMOS scaling into the nanometer regime, Proc. IEEE 85, 486-504 (1997).
    • (1997) Proc. IEEE , vol.85 , pp. 486-504
    • Taur, Y.1    Buchanan, D.A.2
  • 72
    • 33646900503 scopus 로고    scopus 로고
    • Device scaling limits of SiMOSFETs and their application dependencies
    • D. J. Frank, R.H. Dennard et al., Device scaling limits of SiMOSFETs and their application dependencies, Proc. IEEE 89, 259-288 (2001).
    • (2001) Proc. IEEE , vol.89 , pp. 259-288
    • Frank, D.J.1    Dennard, R.H.2
  • 73
    • 37749004171 scopus 로고    scopus 로고
    • Innovative materials, devices, and CMOS technologies for low-power mobile multimedia
    • T. Skotnicki, C. Fenouillet-Beranger et al., Innovative materials, devices, and CMOS technologies for low-power mobile multimedia, IEEE Trans. Electron Dev. 55, 96-130 (2008).
    • (2008) IEEE Trans. Electron Dev. , vol.55 , pp. 96-130
    • Skotnicki, T.1    Fenouillet-Beranger, C.2
  • 74
    • 33847712940 scopus 로고    scopus 로고
    • Record RF performance of sub-46 nm Lgate nFETs in microprocessor SOI CMOS technologies
    • S. Lee, L. Wagner et al., Record RF performance of sub-46 nm Lgate nFETs in microprocessor SOI CMOS technologies, Tech. Dig. IEDM, 241-244 (2005).
    • (2005) Tech. Dig. IEDM , pp. 241-244
    • Lee, S.1    Wagner, L.2
  • 75
    • 30944464048 scopus 로고    scopus 로고
    • Scalability of SOI CMOS technology and circuits to millimeter wave performance
    • J.-O. Plouchart, J. Kimet al., Scalability of SOI CMOS technology and circuits to millimeter wave performance, Dig. CSIC, 121-124 (2005).
    • (2005) Dig. CSIC , pp. 121-124
    • Plouchart, J.-O.1    Kimet, J.2
  • 76
    • 50249158596 scopus 로고    scopus 로고
    • Record RF performance of 45-nm SOI CMOS technology
    • S. Lee, B. Jagannathan et al., Record RF performance of 45-nm SOI CMOS technology, Tech. Dig. IEDM, 255-258 (2007).
    • (2007) Tech. Dig. IEDM , pp. 255-258
    • Lee, S.1    Jagannathan, B.2
  • 77
    • 46049083438 scopus 로고    scopus 로고
    • A 65 nm CMOS SOI technology featuring strained silicon transistors for RF Applications, Tech. Dig. IEDM
    • paper 17
    • I. Post, M. Akbar et al., A 65 nm CMOS SOI technology featuring strained silicon transistors for RF Applications, Tech. Dig. IEDM, paper 17.7 (2006).
    • (2006) 7
    • Post, I.1    Akbar, M.2
  • 78
    • 33846587988 scopus 로고    scopus 로고
    • Performance trends of Si-based RF transistors
    • F. Schwierz and C. Schippel, Performance trends of Si-based RF transistors, Microelectron. Reliab. 47, 384-390 (2007).
    • (2007) Microelectron. Reliab. , vol.47 , pp. 384-390
    • Schwierz, F.1    Schippel, C.2
  • 79
    • 34547688875 scopus 로고    scopus 로고
    • RF transistors: Recent developments and roadmap toward terahertz applications
    • F. Schwierz and J. J. Liou, RF transistors: Recent developments and roadmap toward terahertz applications, Solid-State Electron. 51, 1079-1091 (2007).
    • (2007) Solid-State Electron , vol.51 , pp. 1079-1091
    • Schwierz, F.1    Liou, J.J.2
  • 80
    • 45749105094 scopus 로고    scopus 로고
    • High-frequency device options for systems-on-chip
    • issue 6
    • F. Schwierz, High-frequency device options for systems-on-chip, ECS Transactions 11, 445-460 (issue 6 2007).
    • (2007) ECS Transactions , vol.11 , pp. 445-460
    • Schwierz, F.1
  • 84
    • 0001156050 scopus 로고
    • Self-consistent results for n-type Si inversion layers
    • F. Stern, Self-consistent results for n-type Si inversion layers, Phys. Rev. B5, 4891-4899 (1972).
    • (1972) Phys. Rev. B5 , pp. 4891-4899
    • Stern, F.1
  • 85
    • 84882010991 scopus 로고    scopus 로고
    • S. Hasan, Schred 2.1 Tutorial, Purdue University
    • http://www.nanohub.org/, see also: S. Hasan, Schred 2.1 Tutorial, Purdue University (2003).
    • (2003)
  • 86
    • 85032069152 scopus 로고
    • Electronic properties of twodimensional systems
    • T. Ando, A. B. Fowler and F. Stern, Electronic properties of twodimensional systems, Rev. Mod. Phys. 54, 437-672 (1982).
    • (1982) Rev. Mod. Phys. , vol.54 , pp. 437-672
    • Ando, T.1    Fowler, A.B.2    Stern, F.3
  • 87
    • 0020830319 scopus 로고
    • Threshold voltage of thin-film siliconon insulator (SOI) MOSFETs
    • H.-K. Lim and J. G. Fossum, Threshold voltage of thin-film siliconon insulator (SOI) MOSFETs, IEEE Trans. Electron Devices 30, 1244-1251 (1983).
    • (1983) IEEE Trans. Electron Devices , vol.30 , pp. 1244-1251
    • Lim, H.-K.1    Fossum, J.G.2
  • 88
    • 0041525428 scopus 로고    scopus 로고
    • A physical shortchannel threshold voltage model for undoped symmetric doublegate MOSFETs
    • Q. Chen, E. M. Harrell and J. D. Meindl, A physical shortchannel threshold voltage model for undoped symmetric doublegate MOSFETs, IEEE Trans. Electron Devices 50, 1631-1637 (2003).
    • (2003) IEEE Trans. Electron Devices , vol.50 , pp. 1631-1637
    • Chen, Q.1    Harrell, E.M.2    Meindl, J.D.3
  • 89
    • 0033732282 scopus 로고    scopus 로고
    • An analytical solution to a double-gate MOSFET with undoped body
    • Y. Taur, An analytical solution to a double-gate MOSFET with undoped body, IEEE Electron Device Lett. 21, 245-247 (2000).
    • (2000) IEEE Electron Device Lett , vol.21 , pp. 245-247
    • Taur, Y.1
  • 90
    • 0035694506 scopus 로고    scopus 로고
    • Analytical solutions of charge and capacitance in symmetric and asymmetric double-gate MOSFETs
    • Y. Taur, Analytical solutions of charge and capacitance in symmetric and asymmetric double-gate MOSFETs, IEEE Trans. Electron Devices 48, 2861-2869 (2001).
    • (2001) IEEE Trans. Electron Devices , vol.48 , pp. 2861-2869
    • Taur, Y.1
  • 91
    • 0036475197 scopus 로고    scopus 로고
    • Analytical modeling of quantization and volume inversion in thin Si-film DG MOSFETs
    • L. Ge and J. G. Fossum, Analytical modeling of quantization and volume inversion in thin Si-film DG MOSFETs, IEEE Trans. Electron Devices 49, 287-294 (2002).
    • (2002) IEEE Trans. Electron Devices , vol.49 , pp. 287-294
    • Ge, L.1    Fossum, J.G.2
  • 92
    • 0033169528 scopus 로고    scopus 로고
    • A compact double-gate MOSFET model comprising quantum-mechanical and nonstatic effects
    • G. Baccarani and S. Reggiani, A compact double-gate MOSFET model comprising quantum-mechanical and nonstatic effects, IEEE Trans. Electron Devices 46, 1656-1666 (1999).
    • (1999) IEEE Trans. Electron Devices , vol.46 , pp. 1656-1666
    • Baccarani, G.1    Reggiani, S.2
  • 93
    • 0033899910 scopus 로고    scopus 로고
    • Effects of inversion-layer centroid on the performance of double-gate MOSFETs
    • J. A. Lopez-Villanueva, P. Cartujo-Cassinello et al., Effects of inversion-layer centroid on the performance of double-gate MOSFETs, IEEE Trans. Electron Devices 47, 141-146 (2000).
    • (2000) IEEE Trans. Electron Devices , vol.47 , pp. 141-146
    • Lopez-Villanueva, J.A.1    Cartujo-Cassinello, P.2
  • 94
    • 0034471033 scopus 로고    scopus 로고
    • A novel compact model of quantum effects in scaled SOI and double-gate MOSFETs
    • L. Ge and J. G. Fossum, A novel compact model of quantum effects in scaled SOI and double-gate MOSFETs, Proc. IEEE Int. SOI Conf., 114-115 (2000).
    • (2000) Proc. IEEE Int. SOI Conf. , pp. 114-115
    • Ge, L.1    Fossum, J.G.2
  • 95
    • 0032070926 scopus 로고    scopus 로고
    • Semiconductor thickness effects in the double-gate SOI MOSFET
    • B. Majkusiak, T. Janik and J. Walczak, Semiconductor thickness effects in the double-gate SOI MOSFET, IEEE Trans. Electron Devices 45, 1127-1134 (1998).
    • (1998) IEEE Trans. Electron Devices , vol.45 , pp. 1127-1134
    • Majkusiak, B.1    Janik, T.2    Walczak, J.3
  • 96
    • 0001114294 scopus 로고    scopus 로고
    • Electronic structures and phonon-limited electron mobility of double-gate silicon-on-insulator Si Inversion Layers
    • M. Shoji and S. Horiguchi, Electronic structures and phonon-limited electron mobility of double-gate silicon-on-insulator Si Inversion Layers, J. Appl. Phys. 85, 2722-2731 (1999).
    • (1999) J. Appl. Phys. , vol.85 , pp. 2722-2731
    • Shoji, M.1    Horiguchi, S.2
  • 97
    • 0023421993 scopus 로고
    • Double-gate silicon-on-insulator transistor with volume inversion: A new device with greatly enhanced performances
    • F. Balestra, S. Cristoloveanu et al., Double-gate silicon-on-insulator transistor with volume inversion: A new device with greatly enhanced performances, IEEE Electron Device Lett. 8, 410-412 (1987).
    • (1987) IEEE Electron Device Lett , vol.8 , pp. 410-412
    • Balestra, F.1    Cristoloveanu, S.2
  • 98
    • 0026763758 scopus 로고
    • Dual-gate operation and volume inversion in n-channel SOIMOSFETs
    • S. Venkatesan, G. W. Neudeck and R. F. Pierret, Dual-gate operation and volume inversion in n-channel SOIMOSFETs, IEEE Electron Device Lett. 13, 44-46 (1992).
    • (1992) IEEE Electron Device Lett , vol.13 , pp. 44-46
    • Venkatesan, S.1    Neudeck, G.W.2    Pierret, R.F.3
  • 99
    • 0030289752 scopus 로고    scopus 로고
    • Gate capacitance attenuation inMOS devices with thin gate dielectrics
    • K. S. Krisch, J. D. Bude and L.Manchandra, Gate capacitance attenuation inMOS devices with thin gate dielectrics, IEEE Electron Device Lett. 17, 521-524 (1996).
    • (1996) IEEE Electron Device Lett , vol.17 , pp. 521-524
    • Krisch, K.S.1    Bude, J.D.2    Manchandra, L.3
  • 100
    • 0032662942 scopus 로고    scopus 로고
    • Modeling and characterization of quantization, polysilicon depletion, and direct tunneling effects in MOSFETs with ultrathin oxides
    • S.-H. Lo, D.A. Buchanan and Y. Taur, Modeling and characterization of quantization, polysilicon depletion, and direct tunneling effects in MOSFETs with ultrathin oxides, IBM J. Res. & Dev. 43, 327-337 (1999).
    • (1999) IBM J. Res. & Dev. , vol.43 , pp. 327-337
    • Lo, S.-H.1    Buchanan, D.A.2    Taur, Y.3
  • 103
    • 33646923218 scopus 로고
    • Carrier mobility and current saturation in MOS transistors
    • S. R. Hofstein and G. Warfield, Carrier mobility and current saturation in MOS transistors, IEEE Trans. Electron Devices 12, 129-138 (1965).
    • (1965) IEEE Trans. Electron Devices , vol.12 , pp. 129-138
    • Hofstein, S.R.1    Warfield, G.2
  • 104
    • 0014533974 scopus 로고
    • General theory for pinched operation of the junction-gate FET
    • A. Grebene and S. K. Ghandi, General theory for pinched operation of the junction-gate FET, Solid-State Electron. 12, 573-589 (1969).
    • (1969) Solid-State Electron , vol.12 , pp. 573-589
    • Grebene, A.1    Ghandi, S.K.2
  • 105
    • 0016603256 scopus 로고
    • Signal and noise properties of gallium arsenidemicrowave field-effect transistors
    • R. A. Pucel, H. A. Haus and H. Statz, Signal and noise properties of gallium arsenidemicrowave field-effect transistors, Advan. Electr. Electron Phys. 38, 195-265 (1975).
    • (1975) Advan. Electr. Electron Phys. , vol.38 , pp. 195-265
    • Pucel, R.A.1    Haus, H.A.2    Statz, H.3
  • 106
    • 0009509593 scopus 로고
    • Carrier mobilities in silicon empirically related to doping and field
    • D. M. Caughey and R. E. Thomas, Carrier mobilities in silicon empirically related to doping and field, Proc. IEEE 52, 2192-2193 (1967).
    • (1967) Proc. IEEE , vol.52 , pp. 2192-2193
    • Caughey, D.M.1    Thomas, R.E.2
  • 107
    • 0019045345 scopus 로고
    • Resistivity-dopant density relationship for phosphorus-doped silicon
    • W. R. Thurber, R. L.Mattis and Y.M. Liu, Resistivity-dopant density relationship for phosphorus-doped silicon, J. Electrochem. Soc. 127, 1807-1812 (1980).
    • (1980) J. Electrochem. Soc. , vol.127 , pp. 1807-1812
    • Thurber, W.R.1    Mattis, R.L.2    Liu, Y.M.3
  • 108
    • 0017970105 scopus 로고
    • Boron in near intrinsic<100> and <111> silicon under inert and oxidizing ambients - diffusion and segregation
    • D. A. Antoniadis, A. G. Gonzales and R. W. Dutton, Boron in near intrinsic <100> and <111> silicon under inert and oxidizing ambients - diffusion and segregation, J. Electrochem. Soc. 125, 813-819 (1978).
    • (1978) J. Electrochem. Soc. , vol.125 , pp. 813-819
    • Antoniadis, D.A.1    Gonzales, A.G.2    Dutton, R.W.3
  • 109
    • 0024178927 scopus 로고
    • On the universality of inversionlayer mobility in n- and p-channel MOSFETs
    • S. Takagi,M. Iwase and A. Toriumi, On the universality of inversionlayer mobility in n- and p-channel MOSFETs, Tech. Dig. IEDM, 398-401 (1988).
    • (1988) Tech. Dig. IEDM , pp. 398-401
    • Takagi, S.1    Iwase, M.2    Toriumi, A.3
  • 110
    • 0031191310 scopus 로고    scopus 로고
    • Elementary scattering theory of the Si MOSFET
    • M. Lundstrom, Elementary scattering theory of the Si MOSFET, IEEE Electron Device Lett. 18, 361-363 (1997).
    • (1997) IEEE Electron Device Lett , vol.18 , pp. 361-363
    • Lundstrom, M.1
  • 111
    • 0035364878 scopus 로고    scopus 로고
    • On the mobility versus drain current relation for a nanoscale MOSFET
    • M. S. Lundstrom, On the mobility versus drain current relation for a nanoscale MOSFET, IEEE Electron Device Lett. 22, 293-295 (2001).
    • (2001) IEEE Electron Device Lett , vol.22 , pp. 293-295
    • Lundstrom, M.S.1
  • 112
    • 0036253371 scopus 로고    scopus 로고
    • Essential physics of carrier transport in nanoscale MOSFETs
    • M. Lundstrom and Z. Ren, Essential physics of carrier transport in nanoscale MOSFETs, IEEE Trans. Electron Devices 49, 133-141 (2002).
    • (2002) IEEE Trans. Electron Devices , vol.49 , pp. 133-141
    • Lundstrom, M.1    Ren, Z.2
  • 113
    • 0036494049 scopus 로고    scopus 로고
    • A compact scattering model for the nanoscale double-gate MOSFET
    • A. Rahman and M. S. Lundstrom, A compact scattering model for the nanoscale double-gate MOSFET, IEEE Trans. Electron Devices 49, 481-489 (2002).
    • (2002) IEEE Trans. Electron Devices , vol.49 , pp. 481-489
    • Rahman, A.1    Lundstrom, M.S.2
  • 114
    • 0033352176 scopus 로고    scopus 로고
    • Performance limits of silicon MOSFETs
    • F. Assad, Z. Ren et al., Performance limits of silicon MOSFETs, Tech. Dig. IEDM, 547-550 (1999).
    • (1999) Tech. Dig. IEDM , pp. 547-550
    • Assad, F.1    Ren, Z.2
  • 115
    • 36449008742 scopus 로고
    • Ballisticmetal-oxide-semiconductor field effect transistor
    • K. Natori, Ballisticmetal-oxide-semiconductor field effect transistor, J. Appl. Phys. 76, 4879-4890 (1994).
    • (1994) J. Appl. Phys. , vol.76 , pp. 4879-4890
    • Natori, K.1
  • 116
    • 0001056045 scopus 로고
    • Monte Carlo calculation of electron transport in solids
    • P. J. Price, Monte Carlo calculation of electron transport in solids, Semiconductors and Semimetals 14, 249-334 (1979).
    • (1979) Semiconductors and Semimetals , vol.14 , pp. 249-334
    • Price, P.J.1
  • 117
    • 0842309728 scopus 로고    scopus 로고
    • Device physics at the scaling limit: What matters?
    • M. Lundstrom, Device physics at the scaling limit: What matters?, Tech. Dig. IEDM, 789-792 (2003).
    • (2003) Tech. Dig. IEDM , pp. 789-792
    • Lundstrom, M.1
  • 118
    • 21644487553 scopus 로고    scopus 로고
    • Enhanced ballisticity in nano-MOSFETs along the ITRS roadmap: A Monte Carlo study
    • S. Eminente, D. Esseni et al., Enhanced ballisticity in nano-MOSFETs along the ITRS roadmap: A Monte Carlo study, Tech. Dig. IEDM, 609-612 (2004).
    • (2004) Tech. Dig. IEDM , pp. 609-612
    • Eminente, S.1    Esseni, D.2
  • 119
    • 0016113965 scopus 로고
    • A simple theory to predict the threshold voltage of shortchannel IGFETs
    • L. D. Yau, A simple theory to predict the threshold voltage of shortchannel IGFETs, Solid-State Electron. 17, 1059-1063 (1974).
    • (1974) Solid-State Electron , vol.17 , pp. 1059-1063
    • Yau, L.D.1
  • 120
    • 0029292398 scopus 로고
    • Low power microelectronics: Retrospect and prospect
    • J. D. Meindl, Low power microelectronics: Retrospect and prospect, Proc. IEEE 83, 619-635 (1995).
    • (1995) Proc. IEEE , vol.83 , pp. 619-635
    • Meindl, J.D.1
  • 121
    • 0034272680 scopus 로고    scopus 로고
    • Electron transport in a model Si transistor
    • K. Banoo and M. S. Lundstrom, Electron transport in a model Si transistor, Solid-State Electron. 44, 1689-1695 (2000).
    • (2000) Solid-State Electron , vol.44 , pp. 1689-1695
    • Banoo, K.1    Lundstrom, M.S.2
  • 122
    • 0042009665 scopus 로고    scopus 로고
    • On the suitability of DD and HD models for the simulation of nanometer double-gate MOSFETs
    • R. Granzner, V. M. Polyakov, F. Schwierz et al., On the suitability of DD and HD models for the simulation of nanometer double-gate MOSFETs, Physica E 19, 33-38 (2003).
    • (2003) Physica E , vol.19 , pp. 33-38
    • Granzner, R.1    Polyakov, V.M.2    Schwierz, F.3
  • 123
    • 32044450519 scopus 로고    scopus 로고
    • Simulation of nanoscale MOSFETs using modified drift-diffusion and hydrodynamic models and comparison with Monte Carlo results
    • R. Granzner, V. M. Polyakov, F. Schwierz et al., Simulation of nanoscale MOSFETs using modified drift-diffusion and hydrodynamic models and comparison with Monte Carlo results, Microelectron. Eng. 83, 241-246, (2006).
    • (2006) Microelectron. Eng. , vol.83 , pp. 241-246
    • Granzner, R.1    Polyakov, V.M.2    Schwierz, F.3
  • 124
    • 0037598867 scopus 로고    scopus 로고
    • Monte Carlo simulation and measurement of nanoscale n-MOSFETs
    • F. M. Bufler, Y. Asahi et al., Monte Carlo simulation and measurement of nanoscale n-MOSFETs, IEEE Trans. Electron Devices 50, 418- 424 (2003).
    • (2003) IEEE Trans. Electron Devices , vol.50 , pp. 418-424
    • Bufler, F.M.1    Asahi, Y.2
  • 125
    • 33845410739 scopus 로고    scopus 로고
    • Modeling current transport in ultra-scaled field-effect transistors
    • V. Sverdlov,H. Kosina and S. Selberherr, Modeling current transport in ultra-scaled field-effect transistors, Microelectron. Reliab. 47, 11-19 (2007).
    • (2007) Microelectron. Reliab. , vol.47 , pp. 11-19
    • Sverdlov, V.1    Kosina, H.2    Selberherr, S.3
  • 126
    • 0032187666 scopus 로고    scopus 로고
    • Generalized scale length for two-dimensional effects in MOSFETs
    • D. J. Frank, Y. Taur and H.-S. Wong, Generalized scale length for two-dimensional effects in MOSFETs, IEEE Electron Device Lett. 19, 385-387 (1998).
    • (1998) IEEE Electron Device Lett , vol.19 , pp. 385-387
    • Frank, D.J.1    Taur, Y.2    Wong, H.-S.3
  • 127
    • 4444266909 scopus 로고    scopus 로고
    • A general approach for the performance assessment of nanoscale silicon FETs
    • J. Wang, P. M. Solomon and M. Lundstrom, A general approach for the performance assessment of nanoscale silicon FETs, IEEE Trans. Electron Devices 51, 1366-1370 (2004).
    • (2004) IEEE Trans. Electron Devices , vol.51 , pp. 1366-1370
    • Wang, J.1    Solomon, P.M.2    Lundstrom, M.3
  • 129
    • 0016116644 scopus 로고
    • Design of ion-implanted MOSFETs with very small physical dimensions
    • R. H. Dennard, F. H. Gaensslen et al., Design of ion-implanted MOSFETs with very small physical dimensions, IEEE J. Solid-State Circuits 5, 256-268 (1974).
    • (1974) IEEE J. Solid-State Circuits , vol.5 , pp. 256-268
    • Dennard, R.H.1    Gaensslen, F.H.2
  • 130
    • 0033115977 scopus 로고    scopus 로고
    • MOSFET scaling - the driver of VLSI technology
    • D. L. Critchlow, MOSFET scaling - the driver of VLSI technology, Proc. IEEE 87, 659-667 1999.
    • (1999) Proc. IEEE , vol.87 , pp. 659-667
    • Critchlow, D.L.1
  • 131
    • 84881988854 scopus 로고    scopus 로고
    • The International Technology Roadmap for Semiconductors ITRS, 2001, 2003, 2005, 2007 Editions, Semiconductor Industry Association
    • The International Technology Roadmap for Semiconductors ITRS, 1999, 2001, 2003, 2005, 2007 Editions, Semiconductor Industry Association. See also at http://www.itrs.net/reports.html.
    • (1999)
  • 132
    • 0029292445 scopus 로고
    • CMOS scaling for high performance and low power - the next ten years
    • B. Davari, R. H. Dennard and G. G. Shahidi, CMOS scaling for high performance and low power - the next ten years, Proc. IEEE 83, 595-606 (1995).
    • (1995) Proc. IEEE , vol.83 , pp. 595-606
    • Davari, B.1    Dennard, R.H.2    Shahidi, G.G.3
  • 133
    • 0028754969 scopus 로고
    • A high performance 0.35 μmlogic technology for 3.3 and 2.5V operation
    • M. Bohr, S. U. Ahmed et al., A high performance 0.35 μmlogic technology for 3.3 and 2.5V operation, Tech. Dig. IEDM, 273-276 (1994).
    • (1994) Tech. Dig. IEDM , pp. 273-276
    • Bohr, M.1    Ahmed, S.U.2
  • 134
    • 0029322021 scopus 로고
    • MOS transistors: scaling and performance trends
    • June
    • M. Bohr, MOS transistors: scaling and performance trends, Semicond. Int. 18, 75-80, (June 1995).
    • (1995) Semicond. Int. , vol.18 , pp. 75-80
    • Bohr, M.1
  • 135
    • 13844275618 scopus 로고    scopus 로고
    • In search of "forever", continued transistor scaling one new material at a time
    • S. E. Thompson, R. S. Chau et al., In search of "forever", continued transistor scaling one new material at a time, IEEE Trans. Semicon. Manufact. 18, 26-36 (2005).
    • (2005) IEEE Trans. Semicon. Manufact. , vol.18 , pp. 26-36
    • Thompson, S.E.1    Chau, R.S.2
  • 136
    • 0021406605 scopus 로고
    • Generalized scaling theory and its application to a 1 4 micrometer MOSFET design
    • G. Baccarani, M. R. Wordeman and R. H. Dennard, Generalized scaling theory and its application to a 1 4 micrometer MOSFET design, IEEE Trans. Electron Dev. 31, 452-462, (1984).
    • (1984) IEEE Trans. Electron Dev. , vol.31 , pp. 452-462
    • Baccarani, G.1    Wordeman, M.R.2    Dennard, R.H.3
  • 137
    • 0033115380 scopus 로고    scopus 로고
    • Nanoscale CMOS
    • H.-S. P. Wong, D. J. Frank et al., Nanoscale CMOS, Proc. IEEE 87, 537-570 (1999).
    • (1999) Proc. IEEE , vol.87 , pp. 537-570
    • Wong, H.-S.1    Frank, D.J.2
  • 138
    • 34047254077 scopus 로고    scopus 로고
    • A perspective on today's scaling challenges and possible future directions
    • R. H. Dennard, J. Cai and A. Kumar, A perspective on today's scaling challenges and possible future directions, Solid-State Electron. 51, 518-525 (2007).
    • (2007) Solid-State Electron , vol.51 , pp. 518-525
    • Dennard, R.H.1    Cai, J.2    Kumar, A.3
  • 139
    • 84881991714 scopus 로고    scopus 로고
    • MASTAR user's guide
    • MASTAR user's guide, available at http://www.itrs. net/models.html.
  • 140
    • 1442311898 scopus 로고    scopus 로고
    • Requirements for ultra-thinfilm devices and new materials for the CMOS roadmap
    • C. Fenouillet-Berger, T. Skotnicki et al., Requirements for ultra-thinfilm devices and new materials for the CMOS roadmap, Solid-State Electron. 48, 961-967 (2004).
    • (2004) Solid-State Electron , vol.48 , pp. 961-967
    • Fenouillet-Berger, C.1    Skotnicki, T.2
  • 141
    • 34248662701 scopus 로고    scopus 로고
    • Materials and device structures for sub-32 nm CMOS nodes
    • T. Skotnicki, Materials and device structures for sub-32 nm CMOS nodes, Microelectron. Eng. 84, 1845-1852 (2007).
    • (2007) Microelectron. Eng. , vol.84 , pp. 1845-1852
    • Skotnicki, T.1
  • 142
    • 37749004171 scopus 로고    scopus 로고
    • Innovative materials, devices, and CMOS technologies for low-power mobile multimedia
    • T. Skotnicki, C. Fenouillet-Beranger et al., Innovative materials, devices, and CMOS technologies for low-power mobile multimedia, IEEE Trans. Electron Dev. 55, 96-130 (2008).
    • (2008) IEEE Trans. Electron Dev. , vol.55 , pp. 96-130
    • Skotnicki, T.1    Fenouillet-Beranger, C.2
  • 145
    • 0014832797 scopus 로고
    • Silicon gate technology
    • F. Faggin and T. Klein, Silicon gate technology, Solid-State Electron. 13, 1125-1130 (1970).
    • (1970) Solid-State Electron , vol.13 , pp. 1125-1130
    • Faggin, F.1    Klein, T.2
  • 146
    • 0031673833 scopus 로고    scopus 로고
    • History of some early developments in ion-implantation technology leading to silicon transistor manufacturing
    • R. B. Fair, History of some early developments in ion-implantation technology leading to silicon transistor manufacturing, Proc. IEEE 86, 111-137 (1998).
    • (1998) Proc. IEEE , vol.86 , pp. 111-137
    • Fair, R.B.1
  • 147
    • 0018454951 scopus 로고
    • 1 μm MOSFET VLSI technology: Part VII -Metal silicide interconnection technology - A future perspective
    • B. L. Crowder and S. Zirinsky, 1 μm MOSFET VLSI technology: Part VII -Metal silicide interconnection technology - A future perspective, IEEE Trans. Electron Dev. 26, 369-371 (1979).
    • (1979) IEEE Trans. Electron Dev. , vol.26 , pp. 369-371
    • Crowder, B.L.1    Zirinsky, S.2
  • 148
    • 0019682190 scopus 로고
    • Elimination of hot electron gate current by the lightly doped drain-source structure
    • S. Ogura, P. J. Tsang et al., Elimination of hot electron gate current by the lightly doped drain-source structure, Tech. Dig. IEDM, 651-654 (1981).
    • (1981) Tech. Dig. IEDM , pp. 651-654
    • Ogura, S.1    Tsang, P.J.2
  • 149
    • 0020310803 scopus 로고
    • Titanium disilicide self-aligned source/drain + gate technology
    • C. K. Lau, Y. C. See et al., Titanium disilicide self-aligned source/drain + gate technology, Tech. Dig. IEDM, 714-717 (1982).
    • (1982) Tech. Dig. IEDM , pp. 714-717
    • Lau, C.K.1    See, Y.C.2
  • 150
    • 0020749253 scopus 로고
    • Low pressure nitrided-oxide as a thin gate dielectric forMOSFETs
    • S. S. Wong, C. G. Sodini et al., Low pressure nitrided-oxide as a thin gate dielectric forMOSFETs, J. Electrochem. Soc. 130, 1139-1144 (1983).
    • (1983) J. Electrochem. Soc. , vol.130 , pp. 1139-1144
    • Wong, S.S.1    Sodini, C.G.2
  • 151
    • 0022290066 scopus 로고
    • Halo doping effects in submicron DI-LDD device design
    • C. F. Codella and S. Ogura, Halo doping effects in submicron DI-LDD device design, Tech. Dig. IEDM, 230-233 (1985).
    • (1985) Tech. Dig. IEDM , pp. 230-233
    • Codella, C.F.1    Ogura, S.2
  • 152
    • 0022999388 scopus 로고
    • 0.5μm-channel CMOS technology optimized for liquid-nitrogen-temperature operation
    • J. Y.-C. Sun, Y. Taur et al., 0.5μm-channel CMOS technology optimized for liquid-nitrogen-temperature operation, Tech. Dig. IEDM, 239-239 (1986).
    • (1986) Tech. Dig. IEDM , pp. 239-1239
    • Sun, J.-C.1    Taur, Y.2
  • 153
    • 0022991518 scopus 로고
    • A symmetric submicron CMOS technology
    • S. J. Hillenius, R. Liu et al., A symmetric submicron CMOS technology, Tech. Dig. IEDM, 252-255 (1986).
    • (1986) Tech. Dig. IEDM , pp. 252-255
    • Hillenius, S.J.1    Liu, R.2
  • 154
    • 0026896303 scopus 로고
    • Scaling the SiMOSFET: From bulk to SOI to bulk
    • R.-H. Yan, A. Ourmazd and K. F. Lee, Scaling the SiMOSFET: From bulk to SOI to bulk, IEEE Trans. Electron Dev. 39, 1704-1710 (1992).
    • (1992) IEEE Trans. Electron Dev. , vol.39 , pp. 1704-1710
    • Yan, R.-H.1    Ourmazd, A.2    Lee, K.F.3
  • 155
    • 85056911965 scopus 로고
    • Monte Carlo simulation of a 30 nm dual-gate MOSFET: How short can Si go?
    • D. J. Frank, S. E. Laux and M. V. Fischetti, Monte Carlo simulation of a 30 nm dual-gate MOSFET: How short can Si go?, Tech. Dig. IEDM, 553-556 (1992).
    • (1992) Tech. Dig. IEDM , pp. 553-556
    • Frank, D.J.1    Laux, S.E.2    Fischetti, M.V.3
  • 156
    • 0027847411 scopus 로고
    • Scaling theory for double-gate SOI MOSFETs
    • K. Suzuki, T. Tanaka et al., Scaling theory for double-gate SOI MOSFETs, IEEE Trans. Electron Dev. 40, 2326-2329 (1993).
    • (1993) IEEE Trans. Electron Dev. , vol.40 , pp. 2326-2329
    • Suzuki, K.1    Tanaka, T.2
  • 157
    • 0025575976 scopus 로고
    • Silicon-on-insulator "gate-all-around" device
    • J. P. Colinge,M. K. Gao et al., Silicon-on-insulator "gate-all-around" device, Tech. Dig. IEDM, 595-598 (1990).
    • (1990) Tech. Dig. IEDM , pp. 595-598
    • Colinge, J.P.1    Gao, M.K.2
  • 158
    • 0026169335 scopus 로고
    • Impact of the vertical SOI "DELTA" structure on planar device technology
    • D. Hisamoto, T. Kaga and E. Takeda, Impact of the vertical SOI "DELTA" structure on planar device technology, IEEE Trans. Electron Dev. 38, 1419-1424 (1991).
    • (1991) IEEE Trans. Electron Dev. , vol.38 , pp. 1419-1424
    • Hisamoto, D.1    Kaga, T.2    Takeda, E.3
  • 159
    • 0039524978 scopus 로고
    • Single-crystal silicon on a sapphire substrate
    • H.M.Manasevit andW. I. Simpson, Single-crystal silicon on a sapphire substrate, J. Appl. Phys. 35, 1349-1351 (1964).
    • (1964) J. Appl. Phys. , vol.35 , pp. 1349-1351
    • Manasevit, H.M.1    Simpson, W.I.2
  • 160
    • 33846693940 scopus 로고
    • Piezoresistance effects in germanium and silicon
    • C. S. Smith, Piezoresistance effects in germanium and silicon, Phys. Rev. 94, 42-45 (1954).
    • (1954) Phys. Rev. , vol.94 , pp. 42-45
    • Smith, C.S.1
  • 161
    • 85058698601 scopus 로고
    • NMOS and PMOS transistors fabricated in strained silicon/relaxed silicon-germanium structures
    • J. Welser, J. L. Hoyt and J. F. Gibbons, NMOS and PMOS transistors fabricated in strained silicon/relaxed silicon-germanium structures, Tech. Dig. IEDM, 1000-1002 (1992).
    • (1992) Tech. Dig. IEDM , pp. 1000-1002
    • Welser, J.1    Hoyt, J.L.2    Gibbons, J.F.3
  • 162
    • 0028383440 scopus 로고
    • Electron mobility enhancement in strained-Si n-type metal-oxide-semiconductor field-effect transistors
    • J. Welser, J. L. Hoyt and J. F. Gibbons, Electron mobility enhancement in strained-Si n-type metal-oxide-semiconductor field-effect transistors, IEEE Electron Device Lett. 15, 100-102 (1994).
    • (1994) IEEE Electron Device Lett , vol.15 , pp. 100-102
    • Welser, J.1    Hoyt, J.L.2    Gibbons, J.F.3
  • 163
    • 0028758513 scopus 로고
    • Strain dependence of the performance enhancement in strained-Si n-MOSFETs
    • J. Welser, J. L. Hoyt et al., Strain dependence of the performance enhancement in strained-Si n-MOSFETs, Tech. Dig. IEDM, 373-376 (1994).
    • (1994) Tech. Dig. IEDM , pp. 373-376
    • Welser, J.1    Hoyt, J.L.2
  • 164
    • 0031140867 scopus 로고    scopus 로고
    • Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thinoxide nMOSFETs
    • S. H. Lo, D. A. Buchanan et al., Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thinoxide nMOSFETs, IEEE Electron Device Lett. 18, 209-211 (1997).
    • (1997) IEEE Electron Device Lett , vol.18 , pp. 209-211
    • Lo, S.H.1    Buchanan, D.A.2
  • 165
    • 84881984735 scopus 로고    scopus 로고
    • The National Technology Roadmap for Semiconductors NTRS, Edition, Semiconductor Industry Association
    • The National Technology Roadmap for Semiconductors NTRS, 1997 Edition, Semiconductor Industry Association
    • (1997)
  • 166
    • 35348909664 scopus 로고    scopus 로고
    • The high-k solution
    • Oct
    • M. T. Bohr, R. S. Chau et al., The high-k solution, IEEE Spectrum 44, 29-35 (Oct. 2007).
    • (2007) IEEE Spectrum , vol.44 , pp. 29-35
    • Bohr, M.T.1    Chau, R.S.2
  • 167
    • 50249185641 scopus 로고    scopus 로고
    • A 45nm logic technology with high-k + metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry paterning, and 100% Pb-free packagin
    • K. Mistry, C. Allen et al., A 45nm logic technology with high-k + metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry paterning, and 100% Pb-free packagin, Tech. Dig. IEDM, 247-250 (2007).
    • (2007) Tech. Dig. IEDM , pp. 247-250
    • Mistry, K.1    Allen, C.2
  • 168
    • 0024170834 scopus 로고
    • Doping of n+ and p+ polysilicon in a dual-gate CMOS process
    • C. Y.Wong, J. Y.-C. Sun et al., Doping of n+ and p+ polysilicon in a dual-gate CMOS process, Tech. Dig. IEDM, 238-241 (1988).
    • (1988) Tech. Dig. IEDM , pp. 238-241
    • Wong, C.Y.1    Sun, J.-C.2
  • 169
    • 0027879328 scopus 로고
    • High performance 0.1 μm CMOS devices with 1.5 V power supply
    • Y. Taur, S. Wind et al., High performance 0.1 μm CMOS devices with 1.5 V power supply, Tech. Dig. IEDM, 127-130 (1993).
    • (1993) Tech. Dig. IEDM , pp. 127-130
    • Taur, Y.1    Wind, S.2
  • 170
    • 0027641506 scopus 로고
    • Indium channel implant for improved short-channel behavior of submicrometer NMOSFETs
    • G. G. Shahidi, B. Davari et al., Indium channel implant for improved short-channel behavior of submicrometer NMOSFETs, IEEE Electron Device Lett. 14, 409-411 (1993).
    • (1993) IEEE Electron Device Lett , vol.14 , pp. 409-411
    • Shahidi, G.G.1    Davari, B.2
  • 171
    • 84886447961 scopus 로고    scopus 로고
    • CMOS devices below 0.1 μm: how high will performance go?
    • Y. Taur and E. J. Nowak, CMOS devices below 0.1 μm: how high will performance go?, Tech. Dig. IEDM, 215-218 (1997).
    • (1997) Tech. Dig. IEDM , pp. 215-218
    • Taur, Y.1    Nowak, E.J.2
  • 173
    • 0000438376 scopus 로고    scopus 로고
    • Material and process limits in silicon VLSI technology
    • J. D. Plummer and P. B. Griffin, Material and process limits in silicon VLSI technology, Proc. IEEE 89, 240-258, (2001).
    • (2001) Proc. IEEE , vol.89 , pp. 240-258
    • Plummer, J.D.1    Griffin, P.B.2
  • 175
    • 41149131927 scopus 로고    scopus 로고
    • A raised source/drain extension pFET on Si (110) realized by in-situ doped selective epitaxy technology
    • J.Wang, Y. Kikuchi et al., A raised source/drain extension pFET on Si (110) realized by in-situ doped selective epitaxy technology, Dig. VLSI Technol. Symp., 191-192 (2006).
    • (2006) Dig. VLSI Technol. Symp. , pp. 191-192
    • Wang, J.1    Kikuchi, Y.2
  • 176
    • 33646510845 scopus 로고    scopus 로고
    • Minimization of specific contact resistance in multiple gate NFETs by selective epitaxial growth of Si in the HDD regions
    • A. Dixit, K. G. Anil et al., Minimization of specific contact resistance in multiple gate NFETs by selective epitaxial growth of Si in the HDD regions, Solid-State Electron. 50, 587-593 (2006).
    • (2006) Solid-State Electron , vol.50 , pp. 587-593
    • Dixit, A.1    Anil, K.G.2
  • 177
    • 42549157137 scopus 로고    scopus 로고
    • Novel asymmetric raised source/drain extension MOSFET
    • T. Imoto, Y. Tateshita and T. Kobayashi, Novel asymmetric raised source/drain extension MOSFET, Proc. SISPAD, 385-388 (2006).
    • (2006) Proc. SISPAD , pp. 385-388
    • Imoto, T.1    Tateshita, Y.2    Kobayashi, T.3
  • 178
    • 38849108239 scopus 로고    scopus 로고
    • Junction formation and its device impact through the nodes: From single to coimplants, from beam line to plasma, fromsingle ions to clusters, and fromthermal annealing to laser thermal processing
    • H.-J. L. Gossmann, Junction formation and its device impact through the nodes: From single to coimplants, from beam line to plasma, fromsingle ions to clusters, and fromthermal annealing to laser thermal processing, J. Vac. Sci. Technol. B 26, 267-272 (2008).
    • (2008) J. Vac. Sci. Technol. B , vol.26 , pp. 267-272
    • Gossmann, H.-J.1
  • 179
    • 45549085048 scopus 로고    scopus 로고
    • Pushing planar bulk CMOSFET scaling to its limits by ultimately shallow diffusion-less junction
    • K. Uejima, K. Yako et al., Pushing planar bulk CMOSFET scaling to its limits by ultimately shallow diffusion-less junction, Tech. Dig. IEDM, 151-154 (2007).
    • (2007) Tech. Dig. IEDM , pp. 151-154
    • Uejima, K.1    Yako, K.2
  • 180
    • 0036508039 scopus 로고    scopus 로고
    • Beyond the conventional transistor
    • H.-S. P. Wong, Beyond the conventional transistor, IBM J. Res. & Dev. 46, 133-168 (2002).
    • (2002) IBM J. Res. & Dev. , vol.46 , pp. 133-168
    • Wong, H.-S.1
  • 181
    • 19944433396 scopus 로고    scopus 로고
    • Strained Si, SiGe, and Ge channels for high-mobilitymetal-oxide-semiconductor field-effecttransistors
    • M. L. Lee, E. A. Fitzgerald et al., Strained Si, SiGe, and Ge channels for high-mobilitymetal-oxide-semiconductor field-effecttransistors, J. Appl. Phys. 97, 011101, (2005).
    • (2005) J. Appl. Phys. , vol.97
    • Lee, M.L.1    Fitzgerald, E.A.2
  • 182
    • 33748582367 scopus 로고    scopus 로고
    • Silicon CMOS devices beyond scaling
    • W. Haensch, E. J. Nowak et al., Silicon CMOS devices beyond scaling, IBM J. Res. & Dev. 50, 339-361 (2006).
    • (2006) IBM J. Res. & Dev. , vol.50 , pp. 339-361
    • Haensch, W.1    Nowak, E.J.2
  • 183
    • 33748575889 scopus 로고    scopus 로고
    • ContinuousMOSFET performance increase with device scaling: The role of strain and channel material innovations
    • D. A. Antoniadis, I. Aberg et al., ContinuousMOSFET performance increase with device scaling: The role of strain and channel material innovations, IBMJ. Res. & Dev. 50, 363-376 (2006).
    • (2006) IBMJ. Res. & Dev. , vol.50 , pp. 363-376
    • Antoniadis, D.A.1    Aberg, I.2
  • 184
    • 33646043420 scopus 로고    scopus 로고
    • Uniaxial-process-induced strained-Si: Extending the CMOS roadmap
    • S. E. Thompson, G. Sun et al., Uniaxial-process-induced strained-Si: Extending the CMOS roadmap, IEEE Trans. Electron Dev. 53, 1010- 1020 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 1010-1020
    • Thompson, S.E.1    Sun, G.A.2
  • 185
    • 33646090139 scopus 로고    scopus 로고
    • Fundamentals of silicon material properties for successful exploitation of strain engineering in modern CMOS manufacturing
    • P. R. Chidambaram, C. Bowen et al., Fundamentals of silicon material properties for successful exploitation of strain engineering in modern CMOS manufacturing, IEEE Trans. Electron Dev. 53, 944-964 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 944-964
    • Chidambaram, P.R.1    Bowen, C.2
  • 186
    • 0036045608 scopus 로고    scopus 로고
    • Characteristics and device design of sub-100 nm strained Si n- and pMOSFETs
    • K. Rim, J. Chu et al., Characteristics and device design of sub-100 nm strained Si n- and pMOSFETs, Dig. VLSI Technol. Symp., 98-99 (2002).
    • (2002) Dig. VLSI Technol. Symp. , pp. 98-99
    • Rim, K.1    Chu, J.2
  • 187
    • 0029546478 scopus 로고
    • Monte Carlo simulation of electron transport in strained Si/Si1-xGex n-MOSFETs
    • M. Rashed, W.-K. Shih et al., Monte Carlo simulation of electron transport in strained Si/Si1-xGex n-MOSFETs, Tech. Dig. IEDM, 765-768 (1995).
    • (1995) Tech. Dig. IEDM , pp. 765-768
    • Rashed, M.1    Shih, W.-K.2
  • 188
    • 0842309839 scopus 로고    scopus 로고
    • Fabrication and mobility characteristics of ultra-thin strained Si directly on insulator (SSDOI)MOSFETs
    • K. Rim, K. Chan et al., Fabrication and mobility characteristics of ultra-thin strained Si directly on insulator (SSDOI)MOSFETs, Tech. Dig. IEDM, 49-52 (2003).
    • (2003) Tech. Dig. IEDM , pp. 49-52
    • Rim, K.1    Chan, K.2
  • 189
    • 0035519123 scopus 로고    scopus 로고
    • Carrier mobilities and process stability of strained Si n- and p-MOSFETs on SiGe virtual substrates
    • M. T. Currie, C. W. Leitz et al., Carrier mobilities and process stability of strained Si n- and p-MOSFETs on SiGe virtual substrates, J. Vac. Sci. Technol. B19, 2268-2279 (2001).
    • (2001) J. Vac. Sci. Technol , vol.B19 , pp. 2268-2279
    • Currie, M.T.1    Leitz, C.W.2
  • 190
    • 0842331405 scopus 로고    scopus 로고
    • Channel structure design, fabrication and carrier transport properties of strained-Si/SiGe-on-insulator (strained-SOI) MOSFETs
    • S. Takagi, T. Mizuno et al., Channel structure design, fabrication and carrier transport properties of strained-Si/SiGe-on-insulator (strained-SOI) MOSFETs, Tech. Dig. IEDM, 57-60 (2003).
    • (2003) Tech. Dig. IEDM , pp. 57-60
    • Takagi, S.1    Mizuno, T.2
  • 191
    • 0003451265 scopus 로고
    • Electron transport properties of a strained Si layer on a relaxed Si1-xGex substrate by Monte Carlo simulation
    • H.Miyata, T. Yamada and D. K. Ferry Electron transport properties of a strained Si layer on a relaxed Si1-xGex substrate by Monte Carlo simulation, Appl. Phys. Lett. 62, 2661-2663 (1993).
    • (1993) Appl. Phys. Lett. , vol.62 , pp. 2661-2663
    • Miyata, H.1    Yamada, T.2    Ferry, D.K.3
  • 192
    • 36448998527 scopus 로고
    • Electron transport in strained Si layers on Si1-xGex substrates
    • Th. Vogelsang and K. R. Hofmann, Electron transport in strained Si layers on Si1-xGex substrates, Appl. Phys. Lett. 63, 186-188 (1993).
    • (1993) Appl. Phys. Lett. , vol.63 , pp. 186-188
    • Vogelsang, T.1    Hofmann, K.R.2
  • 193
    • 11144354892 scopus 로고    scopus 로고
    • A logic nanotechnology featruring strained-Silicon
    • S. E. Thompson, M. Armstrong et al., A logic nanotechnology featruring strained-Silicon, IEEE Electron Device Lett. 25, 191-193 (2004).
    • (2004) IEEE Electron Device Lett , vol.25 , pp. 191-193
    • Thompson, S.E.1    Armstrong, M.2
  • 194
    • 21644483769 scopus 로고    scopus 로고
    • A novel strain enhanced CMOS architecture using selectively deposited high tensile and high compressive Silicon nitride films
    • S. Pidin, T. Mori et al., A novel strain enhanced CMOS architecture using selectively deposited high tensile and high compressive Silicon nitride films, Tech. Dig. IEDM, 213-216 (2004).
    • (2004) Tech. Dig. IEDM , pp. 213-216
    • Pidin, S.1    Mori, T.2
  • 195
    • 33847755083 scopus 로고    scopus 로고
    • Thin body Silicon-on-insulator n-MOSFET with Silicon-Carbon source/drain regions for performance enhancement
    • paper 20.3
    • K.-W. Ang, K.-J. Chui et al., Thin body Silicon-on-insulator n-MOSFET with Silicon-Carbon source/drain regions for performance enhancement, Tech. Dig. IEDM, paper 20.3 (2005).
    • (2005) Tech. Dig. IEDM
    • Ang, K.-W.1    Chui, K.-J.2
  • 196
    • 0842288295 scopus 로고    scopus 로고
    • High speed 45nm gate length CMOSFETs integrated into a 90nm bulk technology incorporating strain engineering
    • V. Chan, R. Rengarajan et al., High speed 45nm gate length CMOSFETs integrated into a 90nm bulk technology incorporating strain engineering, Tech. Dig. IEDM, 77-80, (2003).
    • (2003) Tech. Dig. IEDM, 77-80
    • Chan, V.1    Rengarajan, R.2
  • 197
    • 0000301278 scopus 로고    scopus 로고
    • Characterization of the local mechanical stress induced during the Ti and Co/Ti salicidation in sub-0.25 μm technologies
    • A. Steegen, I. De Wolf and K. Maex, Characterization of the local mechanical stress induced during the Ti and Co/Ti salicidation in sub-0.25 μm technologies, J. Appl. Phys. 86, 4290-4297 (1999).
    • (1999) J. Appl. Phys. , vol.86 , pp. 4290-4297
    • Steegen, A.1    De Wolf, I.2    Maex, K.3
  • 199
    • 40949162000 scopus 로고    scopus 로고
    • Multiple stress memorization in advanced SOI CMOS technologies
    • M. Wei, M. Wiatr et al., Multiple stress memorization in advanced SOI CMOS technologies, Dig. VLSI Technol. Symp., 216-217 (2007).
    • (2007) Dig. VLSI Technol. Symp. , pp. 216-217
    • Wei, M.1    Wiatr, M.2
  • 200
    • 41149150847 scopus 로고    scopus 로고
    • Stress memorization technique (SMT) optimization for 45nm CMOS
    • C. Ortolland, P. Morin et al., Stress memorization technique (SMT) optimization for 45nm CMOS, Dig. VLSI Technol. Symp., 9-10 (2006).
    • (2006) Dig. VLSI Technol. Symp. , pp. 9-10
    • Ortolland, C.1    Morin, P.2
  • 201
    • 33847767088 scopus 로고    scopus 로고
    • Stress memorization in highperformance FDSOI devices with ultra-thin silicon channels and 25nm gate lengths
    • paper 20.5
    • D. V. Singh, J. W. Sleight et al., Stress memorization in highperformance FDSOI devices with ultra-thin silicon channels and 25nm gate lengths, Tech. Dig. IEDM, paper 20.5 (2005).
    • (2005) Tech. Dig. IEDM
    • Singh, D.V.1    Sleight, J.W.2
  • 202
    • 41949107199 scopus 로고    scopus 로고
    • Nonuniform mobility-enhancement techniques and their impact on device performance
    • F. Payet, F. Boeuf et al., Nonuniform mobility-enhancement techniques and their impact on device performance, IEEE Trans. Electron Dev. 55, 1050-1057 (2008).
    • (2008) IEEE Trans. Electron Dev. , vol.55 , pp. 1050-1057
    • Payet, F.1    Boeuf, F.2
  • 203
    • 36248976403 scopus 로고    scopus 로고
    • Enhanced strain effects in 25-nm gatelength thin-body nMOSFETs with silicon-carbon source/drain and tensile-stress liner
    • K-W. Ang, K. J. Chui et al., Enhanced strain effects in 25-nm gatelength thin-body nMOSFETs with silicon-carbon source/drain and tensile-stress liner, IEEE Electron Device Lett. 28, 301-303 (2007).
    • (2007) IEEE Electron Device Lett , vol.28 , pp. 301-303
    • Ang, K.-W.1    Chui, K.J.2
  • 204
    • 40949121075 scopus 로고    scopus 로고
    • Strained n-MOSFET with embedded source/drain stressors and strain-transfer structure (STS) for enhanced transistor performance
    • K.-W. Ang, J. Lin et al., Strained n-MOSFET with embedded source/drain stressors and strain-transfer structure (STS) for enhanced transistor performance, IEEE Trans. Electron Dev. 55, 850- 857 (2008).
    • (2008) IEEE Trans. Electron Dev. , vol.55 , pp. 850-857
    • Ang, K.-W.1    Lin, J.2
  • 205
    • 0036927652 scopus 로고    scopus 로고
    • Strained siliconMOSFET technology
    • J. L.Hoyt,H.M.Nayfeh et al., Strained siliconMOSFET technology, Tech. Dig. IEDM, 23-26 (2002).
    • (2002) Tech. Dig. IEDM , pp. 23-26
    • Hoyt, J.L.1    Nayfeh, H.M.2
  • 206
    • 33847287986 scopus 로고    scopus 로고
    • Integration and optimization of embedded-SiGe, compressive and tensile stressed liner films, and stress memorization in advanced SOI CMOS technologies
    • paper 10.5
    • M. Horstmann, A. Wei et al., Integration and optimization of embedded-SiGe, compressive and tensile stressed liner films, and stress memorization in advanced SOI CMOS technologies, Tech. Dig. IEDM, paper 10.5 (2005).
    • (2005) Tech. Dig. IEDM
    • Horstmann, M.1    Wei, A.2
  • 207
    • 0043175310 scopus 로고    scopus 로고
    • Scalability of strained-Si nMOSFETs down to 25 nm gate length
    • J.-S. Goo, Q. Xiang et al., Scalability of strained-Si nMOSFETs down to 25 nm gate length, IEEE Electron Device Lett. 24, 351-353 (2003).
    • (2003) IEEE Electron Device Lett , vol.24 , pp. 351-353
    • Goo, J.-S.1    Xiang, Q.2
  • 208
    • 0141761533 scopus 로고    scopus 로고
    • Strained silicon nMOS with nickel-silicide metal gate
    • Q. Xiang, J.-S. Goo et al., Strained silicon nMOS with nickel-silicide metal gate, Dig. VLSI Technology Symp., 101-102 (2003).
    • (2003) Dig. VLSI Technology Symp. , pp. 101-102
    • Xiang, Q.1    Goo, J.-S.2
  • 209
    • 33847757117 scopus 로고    scopus 로고
    • Source/drain germaniumcondensation for p-channel strained ultra-thin body transistors
    • paper 20.2
    • K.-J. Chui, K.-W.Ang et al., Source/drain germaniumcondensation for p-channel strained ultra-thin body transistors, Tech. Dig. IEDM, paper 20.2 (2005).
    • (2005) Tech. Dig. IEDM
    • Chui, K.-J.1    Ang, K.-W.2
  • 210
    • 33847757121 scopus 로고    scopus 로고
    • High performance 35nm LGate CMOS transistors featuring NiSi metal gate (FUSI), uniaxial strained silicon channels and 1.2 nm gate oxide
    • paper 10.1
    • R. Ranade, T. Ghani et al., High performance 35nm LGate CMOS transistors featuring NiSi metal gate (FUSI), uniaxial strained silicon channels and 1.2 nm gate oxide, Tech. Dig. IEDM, paper 10.1 (2005).
    • (2005) Tech. Dig. IEDM
    • Ranade, R.1    Ghani, T.2
  • 211
    • 33847712554 scopus 로고    scopus 로고
    • High performance multi-gate pMOSFETs using uniaxially-strained SGOI channels
    • paper 30.1
    • T. Irisawa, T. Numata et al., High performance multi-gate pMOSFETs using uniaxially-strained SGOI channels, Tech. Dig. IEDM, paper 30.1 (2005).
    • (2005) Tech. Dig. IEDM
    • Irisawa, T.1    Numata, T.2
  • 212
    • 46049119670 scopus 로고    scopus 로고
    • Carrier transport characteristics of sub-30 nm strained n-channel FinFETs featuring silicon-carbon source/drain regions and methods for further performance enhancement
    • paper 17.6
    • T.-Y. Liow, K.-M. Tan et al., Carrier transport characteristics of sub-30 nm strained n-channel FinFETs featuring silicon-carbon source/drain regions and methods for further performance enhancement, Tech. Dig. IEDM, paper 17.6 (2006).
    • (2006) Tech. Dig. IEDM
    • Liow, T.-Y.1    Tan, K.-M.2
  • 213
    • 46049115710 scopus 로고    scopus 로고
    • Electron transport properties of ultrathin-body and tri-gate SOI nMOSFETs with biaxial and uniaxial strain
    • paper 17.2
    • T. Irisawa, T. Numata et al., Electron transport properties of ultrathin-body and tri-gate SOI nMOSFETs with biaxial and uniaxial strain, Tech. Dig. IEDM, paper 17.2 (2006).
    • (2006) Tech. Dig. IEDM
    • Irisawa, T.1    Numata, T.2
  • 214
    • 33646072123 scopus 로고    scopus 로고
    • Hybrid-orientation technology (HOT): Opportunities and challenges
    • M. Yang, V. W. C. Chan et al., Hybrid-orientation technology (HOT): Opportunities and challenges, IEEE Trans. Electron Dev. 53, 965-978 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 965-978
    • Yang, M.1    Chan, V.W.C.2
  • 215
    • 0022291262 scopus 로고
    • Effects of silicon surface orientation on submicron CMOS devices
    • M. Kinugawa, M. Kakumu et al., Effects of silicon surface orientation on submicron CMOS devices, Tech. Dig. IEDM, 581-584 (1985).
    • (1985) Tech. Dig. IEDM , pp. 581-584
    • Kinugawa, M.1    Kakumu, M.2
  • 216
    • 0043269756 scopus 로고    scopus 로고
    • Six-band k.p calculation of the holemobility in silicon inversion layers: Dependence on surface orientation, strain, and silicon thickness
    • M. Fischetti, Z. Ren et al., Six-band k.p calculation of the holemobility in silicon inversion layers: Dependence on surface orientation, strain, and silicon thickness, J. Appl. Phys. 94, 1079-1095 (2003).
    • (2003) J. Appl. Phys. , vol.94 , pp. 1079-1095
    • Fischetti, M.1    Ren, Z.2
  • 217
    • 0031122158 scopus 로고    scopus 로고
    • CMOS scaling into the nanometer regime
    • Y. Taur, D. A. Buchanan et al., CMOS scaling into the nanometer regime, Proc. IEEE 85, 486-504 (1997).
    • (1997) Proc. IEEE , vol.85 , pp. 486-504
    • Taur, Y.1    Buchanan, D.A.2
  • 218
    • 33646900503 scopus 로고    scopus 로고
    • Device scaling limits of Si MOSFETs and their application dependencies
    • D. J. Frank, R. H. Dennard et al., Device scaling limits of Si MOSFETs and their application dependencies, Proc. IEEE 89, 259-288 (2001).
    • (2001) Proc. IEEE , vol.89 , pp. 259-288
    • Frank, D.J.1    Dennard, R.H.2
  • 219
    • 0029219539 scopus 로고
    • CMOS scaling into the 21st century: 0.1μm and beyond
    • Y. Taur, Y.-J. Mie et al., CMOS scaling into the 21st century: 0.1μm and beyond, IBM J. Res. & Dev. 39, 245-260 (1995).
    • (1995) IBM J. Res. & Dev. , vol.39 , pp. 245-260
    • Taur, Y.1    Mie, Y.-J.2
  • 220
    • 0036498483 scopus 로고    scopus 로고
    • Design considerations for CMOS near the limits of scaling
    • D. J. Frank and Y. Taur, Design considerations for CMOS near the limits of scaling, Solid-State Electron. 46, 315-320 (2002).
    • (2002) Solid-State Electron , vol.46 , pp. 315-320
    • Frank, D.J.1    Taur, Y.2
  • 221
    • 0039436914 scopus 로고    scopus 로고
    • Ultrathin (4nm) SiO2 and Si-O-N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits
    • M. L. Green, E. P. Gusev et al., Ultrathin (4nm) SiO2 and Si-O-N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits, J. Appl. Phys. 90, 2057-2121 (2001).
    • (2001) J. Appl. Phys. , vol.90 , pp. 2057-2121
    • Green, M.L.1    Gusev, E.P.2
  • 222
    • 0035872897 scopus 로고    scopus 로고
    • High-k gate dielectrics: Current status and material properties consideration
    • G. D. Wilk, R. M. Wallace and J. M. Anthony, High-k gate dielectrics: Current status and material properties consideration, J. Appl. Phys. 89, 5243-5275 (2001).
    • (2001) J. Appl. Phys. , vol.89 , pp. 5243-5275
    • Wilk, G.D.1    Wallace, R.M.2    Anthony, J.M.3
  • 223
    • 0036508033 scopus 로고    scopus 로고
    • Vertically scaled MOSFET gate stacks and junctions: How far are we likely to go?
    • C. M. Osburn, I. Kim et al., Vertically scaled MOSFET gate stacks and junctions: How far are we likely to go?, IBM J. Res. & Dev. 46, 299-315 (2002).
    • (2002) IBM J. Res. & Dev. , vol.46 , pp. 299-315
    • Osburn, C.M.1    Kim, I.2
  • 224
    • 34249038462 scopus 로고    scopus 로고
    • Amorphous hafnium silicates: Structural, electronic and dielectric properties
    • P. Broqvist and A. Pasquarello, Amorphous hafnium silicates: Structural, electronic and dielectric properties, Microelectron. Eng. 84, 2416-2419 (2007).
    • (2007) Microelectron. Eng. , vol.84 , pp. 2416-2419
    • Broqvist, P.1    Pasquarello, A.2
  • 225
    • 9544245843 scopus 로고    scopus 로고
    • Properties of zirconium silicate thin films prepared by laser ablation
    • M. Filipescu, N. Scarisoreanu et al., Properties of zirconium silicate thin films prepared by laser ablation, Mat. Sci. Semicond. Processing 7, 209-214 (2004).
    • (2004) Mat. Sci. Semicond. Processing , vol.7 , pp. 209-214
    • Filipescu, M.1    Scarisoreanu, N.2
  • 226
    • 0141649587 scopus 로고    scopus 로고
    • Fermi level pinning at the polySi/metal oxide interface
    • C. Hobbs, L. Fonesca et al., Fermi level pinning at the polySi/metal oxide interface, Dig. VLSI Technol. Symp., 9-10 (2003).
    • (2003) Dig. VLSI Technol. Symp. , pp. 9-10
    • Hobbs, C.1    Fonesca, L.2
  • 227
    • 4544267525 scopus 로고    scopus 로고
    • Physics in Fermi level pinning at the polySi/Hf-based high-k oxide interface
    • K. Shiraishi, K. Yamada et al., Physics in Fermi level pinning at the polySi/Hf-based high-k oxide interface, Dig. VLSI Technol. Symp., 108-109 (2004).
    • (2004) Dig. VLSI Technol. Symp. , pp. 108-109
    • Shiraishi, K.1    Yamada, K.2
  • 228
    • 0035504954 scopus 로고    scopus 로고
    • Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: The role of remote phonon scattering
    • M. V. Fischetti, D. A. Neumayer and E. A. Cartier, Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: The role of remote phonon scattering, J. Appl. Phys. 90, 4587-4608 (2001).
    • (2001) J. Appl. Phys. , vol.90 , pp. 4587-4608
    • Fischetti, M.V.1    Neumayer, D.A.2    Cartier, E.A.3
  • 229
    • 2942702306 scopus 로고    scopus 로고
    • High-k/metal-gate stack and its MOSFET characteristics
    • R. Chau, S. Datta et al., High-k/metal-gate stack and its MOSFET characteristics, IEEE Electron Device Lett. 25, 408-410 (2004).
    • (2004) IEEE Electron Device Lett , vol.25 , pp. 408-410
    • Chau, R.1    Datta, S.2
  • 230
    • 0036932380 scopus 로고    scopus 로고
    • Transistors with dual work function metal gates by single full silicidation (FUSI) of polysilicon gates
    • W. P. Maszara, Z. Krivokapic et al., Transistors with dual work function
    • (2002) Tech. Dig. IEDM , pp. 367-370
    • Maszara, W.P.1    Krivokapic, Z.2
  • 231
    • 0036923594 scopus 로고    scopus 로고
    • Metal-gate FinFET and fullydepleted SOI devices using total gate silicidation
    • J. Kedzierski, E. Nowak et al., Metal-gate FinFET and fullydepleted SOI devices using total gate silicidation, Tech. Dig. IEDM, 247-250 (2002).
    • (2002) Tech. Dig. IEDM , pp. 247-250
    • Kedzierski, J.1    Nowak, E.2
  • 232
    • 23744433409 scopus 로고    scopus 로고
    • Fully silicided metal gates for high-performance CMOS technology: A review
    • W. P. Maszara, Fully silicided metal gates for high-performance CMOS technology: A review, J. Electrochem. Soc. 152, G550-G555 (2005).
    • (2005) J. Electrochem. Soc. , vol.152
    • Maszara, W.P.1
  • 233
    • 33646057281 scopus 로고    scopus 로고
    • Highly manufacturable advanced gatestack technology for sub-45-nm self-aligned gate-first CMOSFETs
    • S.-C. Song, Z. Zhang et al., Highly manufacturable advanced gatestack technology for sub-45-nm self-aligned gate-first CMOSFETs, IEEE Trans. Electron Dev. 53, 979-989 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 979-989
    • Song, S.-C.1    Zhang, Z.2
  • 234
    • 0036508380 scopus 로고    scopus 로고
    • SOI technology for the GHz era
    • G. G. Shahidi, SOI technology for the GHz era, IBM J. Res. & Dev. 46, 121-131 (2002).
    • (2002) IBM J. Res. & Dev. , vol.46 , pp. 121-131
    • Shahidi, G.G.1
  • 235
  • 236
    • 0028423558 scopus 로고
    • Deep-submicrometer channel design in silicon-on-insulator (SOI) MOSFETs
    • L. T. Su, J. B. Jacobs et al., Deep-submicrometer channel design in silicon-on-insulator (SOI) MOSFETs, IEEE Electron Device Lett. 15, 366-368 (1994).
    • (1994) IEEE Electron Device Lett , vol.15 , pp. 366-368
    • Su, L.T.1    Jacobs, J.B.2
  • 237
    • 0033750493 scopus 로고    scopus 로고
    • Ultrathin-body SOI MOSFET for deepsub-tenth micron era
    • Y.-K. Choi, K. Asano et al., Ultrathin-body SOI MOSFET for deepsub-tenth micron era, IEEE Electron Device Lett. 21, 254-255 (2000).
    • (2000) IEEE Electron Device Lett , vol.21 , pp. 254-255
    • Choi, Y.-K.1    Asano, K.2
  • 238
    • 0036498428 scopus 로고    scopus 로고
    • Finging fields in sub-0.1 μmfully depleted SOI MOSFETs: Optimization of the device architecture
    • T. Ernst, C. Tinella et al., Finging fields in sub-0.1 μmfully depleted SOI MOSFETs: Optimization of the device architecture, Solid-State Electron. 46, 373-378 (2002).
    • (2002) Solid-State Electron , vol.46 , pp. 373-378
    • Ernst, T.1    Tinella, C.2
  • 240
    • 33646046842 scopus 로고    scopus 로고
    • On the scaling limit of ultrathin SOI MOSFETs
    • W.-Y. Lu and Y. Taur, On the scaling limit of ultrathin SOI MOSFETs, IEEE Trans. Electron Dev. 53, 1137-1141 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 1137-1141
    • Lu, W.-Y.1    Taur, Y.2
  • 241
  • 242
    • 0036927506 scopus 로고    scopus 로고
    • Experimental study on carrier transport mechanism in ultrathin-body n- and p-MOSFETs with SOI thickness less than 5 nm
    • K. Uchida, H.Watanabe et al., Experimental study on carrier transport mechanism in ultrathin-body n- and p-MOSFETs with SOI thickness less than 5 nm, Tech. Dig. IEDM, 47-50 (2002).
    • (2002) Tech. Dig. IEDM , pp. 47-50
    • Uchida, K.1    Watanabe, H.2
  • 243
    • 63149094559 scopus 로고    scopus 로고
    • Electron transport in strainedsilicon directly on insulator ultrathin-body n-MOSFETs with body thickness ranging from 2 to 25 nm
    • L. Gomez, I. Aberg and J. L. Hoyt, Electron transport in strainedsilicon directly on insulator ultrathin-body n-MOSFETs with body thickness ranging from 2 to 25 nm, IEEE Electron Device Lett. 28, 285-287 (2007).
    • (2007) IEEE Electron Device Lett , vol.28 , pp. 285-287
    • Gomez, L.1    Aberg, I.2    Hoyt, J.L.3
  • 244
    • 26444596565 scopus 로고    scopus 로고
    • Hole transport in UTB MOSFETs in strained-Si directly on insulatorwith strained-Si thickness less than 5 nm
    • I. Aberg and J. L. Hoyt, Hole transport in UTB MOSFETs in strained-Si directly on insulatorwith strained-Si thickness less than 5 nm, IEEE Electron Device Lett. 26, 661-663 (2005).
    • (2005) IEEE Electron Device Lett , vol.26 , pp. 661-663
    • Aberg, I.1    Hoyt, J.L.2
  • 245
    • 41749113825 scopus 로고    scopus 로고
    • An analytical model for the threshold voltage shift caused by two-dimensional quantum confinement in undoped multiple-gate MOSFETs
    • R. Granzner, F. Schwierz and V. M. Polyakov, An analytical model for the threshold voltage shift caused by two-dimensional quantum confinement in undoped multiple-gate MOSFETs, IEEE Trans. Electron Dev. 54, 2562-2565 (2007).
    • (2007) IEEE Trans. Electron Dev. , vol.54 , pp. 2562-2565
    • Granzner, R.1    Schwierz, F.2    Polyakov, V.M.3
  • 246
  • 247
    • 10744221153 scopus 로고    scopus 로고
    • Impact of technology parameters on device performance of UTB-SOI CMOS
    • T. Schulz, C. Pacha et al., Impact of technology parameters on device performance of UTB-SOI CMOS, Solid-State Electron. 48, 521-527 (2004).
    • (2004) Solid-State Electron , vol.48 , pp. 521-527
    • Schulz, T.1    Pacha, C.2
  • 248
    • 13844255229 scopus 로고    scopus 로고
    • Manufacturability of 20-nm ultrathin body fully depleted SOI devices with FUSI metal gates
    • Z. Krivokapic, W. P. Maszara and M.-R. Lin, Manufacturability of 20-nm ultrathin body fully depleted SOI devices with FUSI metal gates, IEEE Trans. Semicond. Manufact. 18, 5-12 (2005).
    • (2005) IEEE Trans. Semicond. Manufact. , vol.18 , pp. 5-12
    • Krivokapic, Z.1    Maszara, W.P.2    Lin, M.-R.3
  • 249
    • 34047269063 scopus 로고    scopus 로고
    • Combined sources of intrinsic parameter fluctuations in sub-25 nm generation UTB-SOIMOSFETs: A statistical simulation study
    • K. Samsudin, F. Adamu-Lema et al., Combined sources of intrinsic parameter fluctuations in sub-25 nm generation UTB-SOIMOSFETs: A statistical simulation study, Solid-State Electron. 51, 611-616 (2007).
    • (2007) Solid-State Electron , vol.51 , pp. 611-616
    • Samsudin, K.1    Adamu-Lema, F.2
  • 250
    • 3242844030 scopus 로고    scopus 로고
    • Electron mobility in extremely thin single-gate silicon-on-insulator inversion layers
    • F. Gamiz, J. B. Roldan et al., Electron mobility in extremely thin single-gate silicon-on-insulator inversion layers, J. Appl. Phys. 86, 6269-6275 (1999).
    • (1999) J. Appl. Phys. , vol.86 , pp. 6269-6275
    • Gamiz, F.1    Roldan, J.B.2
  • 251
    • 0036839339 scopus 로고    scopus 로고
    • Monte Carlo simulation of electron mobility in silicon-on-insulator structures
    • F. Gamiz, J. B. Roldan et al., Monte Carlo simulation of electron mobility in silicon-on-insulator structures, Solid-State Electron. 46, 1715-1721 (2002).
    • (2002) Solid-State Electron , vol.46 , pp. 1715-1721
    • Gamiz, F.1    Roldan, J.B.2
  • 252
    • 0034454471 scopus 로고    scopus 로고
    • Low field mobility of ultrathin SOI N- and P-MOSFETs: Measurements and implications on the performance of ultra-short MOSFETs
    • D. Esseni, M. Mastrapasqua et al., Low field mobility of ultrathin SOI N- and P-MOSFETs: Measurements and implications on the performance of ultra-short MOSFETs, Tech. Dig. IEDM, 671-674 (2000).
    • (2000) Tech. Dig. IEDM , pp. 671-674
    • Esseni, D.1    Mastrapasqua, M.2
  • 253
    • 1442287314 scopus 로고    scopus 로고
    • Low field electron mobility in ultrathin SOI MOSFETs: Experimental characterization and theoretical investigation
    • D. Esseni and E. Sangiorgi, Low field electron mobility in ultrathin SOI MOSFETs: Experimental characterization and theoretical investigation, Solid-State Electron. 48, 927-936 (2004).
    • (2004) Solid-State Electron , vol.48 , pp. 927-936
    • Esseni, D.1    Sangiorgi, E.2
  • 254
    • 0842331295 scopus 로고    scopus 로고
    • Experimental study on carrier transport mechanisms in double- and single-gate ultrathin-body MOSFETs - Coulomb scattering, volume inversion, and dTSOIinduced scattering
    • K. Uchida, J. Koga and S. Takagi, Experimental study on carrier transport mechanisms in double- and single-gate ultrathin-body MOSFETs - Coulomb scattering, volume inversion, and dTSOIinduced scattering, Tech. Dig. IEDM, 805-808 (2003).
    • (2003) Tech. Dig. IEDM , pp. 805-808
    • Uchida, K.1    Koga, J.2    Takagi, S.3
  • 255
    • 4344618761 scopus 로고    scopus 로고
    • Thin-film strained-SOI CMOS devices - physical mechanisms for reduction of carrier mobility
    • T. Mizuno, N. Sugiyama et al., Thin-film strained-SOI CMOS devices - physical mechanisms for reduction of carrier mobility, IEEE Trans. Electron Dev. 51, 1114-1121 (2004).
    • (2004) IEEE Trans. Electron Dev. , vol.51 , pp. 1114-1121
    • Mizuno, T.1    Sugiyama, N.2
  • 256
    • 0034784827 scopus 로고    scopus 로고
    • Ultra-thin body PMOSFETswith selectively deposited Ge source/drain
    • Y.-K. Choi, D. Ha et al., Ultra-thin body PMOSFETswith selectively deposited Ge source/drain, Dig. VLSI Technol. Symp., 19-20 (2001).
    • (2001) Dig. VLSI Technol. Symp. , pp. 19-20
    • Choi, Y.-K.1    Ha, D.2
  • 257
    • 0036805490 scopus 로고    scopus 로고
    • An experimental study on transport issues and electrostatics of ultrathin body SOI pMOSFETs
    • Z. Ren, S. Hegde et al., An experimental study on transport issues and electrostatics of ultrathin body SOI pMOSFETs, IEEE Electron Device Lett. 23, 609-611 (2002).
    • (2002) IEEE Electron Device Lett , vol.23 , pp. 609-611
    • Ren, Z.1    Hegde, S.2
  • 258
    • 47749097705 scopus 로고    scopus 로고
    • Fully-depleted SOI technology using high-k and single-metal gate for 32nm node LSTP applications featuring 0.179μm2 6T-SRAM bitcell
    • C. Fenouillet-Beranger, S. Denorme et al., Fully-depleted SOI technology using high-k and single-metal gate for 32nm node LSTP applications featuring 0.179μm2 6T-SRAM bitcell, Tech. Dig. IEDM, 267-270 (2007).
    • (2007) Tech. Dig. IEDM , pp. 267-270
    • Fenouillet-Beranger, C.1    Denorme, S.2
  • 259
    • 44949085361 scopus 로고    scopus 로고
    • Strained FDSOI CMOS technology scalability down to 2.5nm film thickness and 18nm gate length with a TiN/HfO2 gate stack
    • V. Barral, T. Poiroux et al., Strained FDSOI CMOS technology scalability down to 2.5nm film thickness and 18nm gate length with a TiN/HfO2 gate stack, Tech. Dig. IEDM, 61-64 (2007).
    • (2007) Tech. Dig. IEDM , pp. 61-64
    • Barral, V.1    Poiroux, T.2
  • 260
    • 85056911965 scopus 로고
    • Monte Carlo simulation of a 30 nm dual-gate MOSFET: How short can Si go?
    • D. J. Frank, S. E. Laux and M. V. Fischetti, Monte Carlo simulation of a 30 nm dual-gate MOSFET: How short can Si go?, Tech. Dig. IEDM, 553-556 (1992).
    • (1992) Tech. Dig. IEDM , pp. 553-556
    • Frank, D.J.1    Laux, S.E.2    Fischetti, M.V.3
  • 261
    • 84963965381 scopus 로고
    • A new scaling methodology for the 0.1-0.025 μm MOSFET
    • C. Fiegna, H. Iwai et al., A new scaling methodology for the 0.1-0.025 μm MOSFET, Dig. VLSI Technol. Symp., 33-34 (1993).
    • (1993) Dig. VLSI Technol. Symp. , pp. 33-34
    • Fiegna, C.1    Iwai, H.2
  • 262
    • 5744251698 scopus 로고    scopus 로고
    • Extremely scaled Silicon nano-CMOS devices
    • L. Chang, Y.-K. Choi et al., Extremely scaled Silicon nano-CMOS devices, Proc. IEEE 91, 1860-1873 (2003).
    • (2003) Proc. IEEE , vol.91 , pp. 1860-1873
    • Chang, L.1    Choi, Y.-K.2
  • 263
    • 0036684706 scopus 로고    scopus 로고
    • FinFET design considerations based on 3-d simulation and analytical modeling
    • G. Pei, J. Kedzierski et al., FinFET design considerations based on 3-d simulation and analytical modeling, IEEE Trans. Electron Dev. 49, 1411-1419 (2002).
    • (2002) IEEE Trans. Electron Dev. , vol.49 , pp. 1411-1419
    • Pei, G.1    Kedzierski, J.2
  • 264
    • 84886447996 scopus 로고    scopus 로고
    • Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel
    • H.-S. P. Wong, K. K. Chan et al., Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel, Tech. Dig. IEDM, 427-430 (1997).
    • (1997) Tech. Dig. IEDM , pp. 427-430
    • Wong, H.-S.1    Chan, K.K.2
  • 265
    • 0035714368 scopus 로고    scopus 로고
    • Triple-self-aligned, planar double-gate MOSFETs: Devices and circuits
    • K. W. Guarini, P. M. Solomon et al., Triple-self-aligned, planar double-gate MOSFETs: Devices and circuits, Tech. Dig. IEDM, 425-428 (2001).
    • (2001) Tech. Dig. IEDM , pp. 425-428
    • Guarini, K.W.1    Solomon, P.M.2
  • 266
    • 23344432413 scopus 로고    scopus 로고
    • Experimental evaluation of gate architecture influence on DG SOI MOSFETs performance
    • J. Widiez, J. Lolovier et al., Experimental evaluation of gate architecture influence on DG SOI MOSFETs performance, IEEE Trans. Electron Dev. 52, 1772-1779 (2005).
    • (2005) IEEE Trans. Electron Dev. , vol.52 , pp. 1772-1779
    • Widiez, J.1    Lolovier, J.2
  • 268
    • 0032284102 scopus 로고    scopus 로고
    • Device design considerations for double-gate, ground-plane, and single-gated ultrathin SOI MOSFETs at the 25 nm channel length generation
    • H.-S. P. Wong, D. J. Frank and P. M. Solomon, Device design considerations for double-gate, ground-plane, and single-gated ultrathin SOI MOSFETs at the 25 nm channel length generation, Tech. Dig. IEDM, 407-410 (1998).
    • (1998) Tech. Dig. IEDM , pp. 407-410
    • Wong, H.-S.1    Frank, D.J.2    Solomon, P.M.3
  • 269
    • 33847342014 scopus 로고    scopus 로고
    • N-channel FinFETs with 25-nm gate length and Schottky-barrier source and drain featuring Ytterbium silicide
    • R. T. P. Lee, A. E.-J. Lim et al., N-channel FinFETs with 25-nm gate length and Schottky-barrier source and drain featuring Ytterbium silicide, IEEE Electron Device Lett. 28, 164-167 (2007).
    • (2007) IEEE Electron Device Lett , vol.28 , pp. 164-167
    • Lee, R.T.P.1    Lim, A.-J.2
  • 270
    • 3943110263 scopus 로고    scopus 로고
    • A functional 41-stage ring oscillator using scaled FinFET Devices with 25-nm gate length and 10-nm fin width applicable for the 45-nm CMOS node
    • N. Collaert, A. Dixit et al., A functional 41-stage ring oscillator using scaled FinFET Devices with 25-nm gate length and 10-nm fin width applicable for the 45-nm CMOS node, IEEE Electron Device Lett. 25, 568-570 (2004).
    • (2004) IEEE Electron Device Lett , vol.25 , pp. 568-570
    • Collaert, N.1    Dixit, A.2
  • 271
    • 34249901279 scopus 로고    scopus 로고
    • Highly manufacturable double-gate FinFET with gate-source/drain underlap
    • J.-W. Yang, P. M. Zeitzoff and H.-H. Tseng, Highly manufacturable double-gate FinFET with gate-source/drain underlap, IEEE Trans. Electron Dev. 54, 1464-1470 (2007).
    • (2007) IEEE Trans. Electron Dev. , vol.54 , pp. 1464-1470
    • Yang, J.-W.1    Zeitzoff, P.M.2    Tseng, H.-H.3
  • 274
    • 33847733858 scopus 로고    scopus 로고
    • Mobility enhancement due to volume inversion in (110)-oriented ultra-thin body double-gate nMOSFETs with body thickness less than 5 nm
    • G. Tsutsui, M. Saitoh et al., Mobility enhancement due to volume inversion in (110)-oriented ultra-thin body double-gate nMOSFETs with body thickness less than 5 nm, Tech. Dig. IEDM, 729-732 (2005).
    • (2005) Tech. Dig. IEDM , pp. 729-732
    • Tsutsui, G.1    Saitoh, M.2
  • 275
    • 84907707336 scopus 로고    scopus 로고
    • Corner effect in double and triple gate FinFETs
    • A. Burenkov and J. Lorenz, Corner effect in double and triple gate FinFETs, Proc. ESSDERC, 135-138 (2003).
    • (2003) Proc. ESSDERC , pp. 135-138
    • Burenkov, A.1    Lorenz, J.2
  • 276
    • 20244383595 scopus 로고    scopus 로고
    • A comprehensive study of corner effects in tri-gate transistors
    • M. Sẗadele, R. J. Luyken et al., A comprehensive study of corner effects in tri-gate transistors, Proc. ESSDERC, 165-168 (2004).
    • (2004) Proc. ESSDERC , pp. 165-168
    • Sẗadele, M.1    Luyken, R.J.2
  • 278
    • 0141761518 scopus 로고    scopus 로고
    • Tri-gate fully-depleted CMOS transistors: Fabrication, design, and layout
    • B. Doyle, B. Boyanov et al., Tri-gate fully-depleted CMOS transistors: Fabrication, design, and layout, Dig. VLSI Technology Symp., 133-134 (2003).
    • (2003) Dig. VLSI Technology Symp. , pp. 133-134
    • Doyle, B.1    Boyanov, B.2
  • 279
    • 21044447633 scopus 로고    scopus 로고
    • On the feasibility of nanoscale triplegate CMOS transistors
    • J.-W. Yang and J. G. Fossum, On the feasibility of nanoscale triplegate CMOS transistors, IEEE Trans. Electron Dev. 52, 1159-1164 (2005).
    • (2005) IEEE Trans. Electron Dev. , vol.52 , pp. 1159-1164
    • Yang, J.-W.1    Fossum, J.G.2
  • 280
    • 27144498146 scopus 로고    scopus 로고
    • Towards optimally shaped Fins in p-channel tri-gate FETs: Can the fin height be reduced further?
    • A. Dixit, K, G. Anil et al., Towards optimally shaped Fins in p-channel tri-gate FETs: Can the fin height be reduced further?, Proc. VLSI-TSA, 112-113 (2005).
    • (2005) Proc. VLSI-TSA , pp. 112-113
    • Dixit, A.1    Anil, K.G.2
  • 281
    • 41149171855 scopus 로고    scopus 로고
    • Tri-gate transistor architecture with high-k gate dielectrics, metal gates and strain engineering
    • J. Kavalieros, B. Doyle et al., Tri-gate transistor architecture with high-k gate dielectrics, metal gates and strain engineering, Dig. VLSI Technol. Symp., 50-51, (2006).
    • (2006) Dig. VLSI Technol. Symp , pp. 50-51
    • Kavalieros, J.1    Doyle, B.2
  • 282
    • 31544433411 scopus 로고    scopus 로고
    • Low-temperature electron mobility in trigate SOI MOSFETs
    • J.-P. Colinge, A. J. Quinn et al., Low-temperature electron mobility in trigate SOI MOSFETs, IEEE Electron Device Lett. 27, 120-122 (2006).
    • (2006) IEEE Electron Device Lett , vol.27 , pp. 120-122
    • Colinge, J.-P.1    Quinn, A.J.2
  • 283
    • 10844274144 scopus 로고    scopus 로고
    • Body effect in tri- and pi-gate SOI MOSFETs
    • J. Frei, C. Jones et al., Body effect in tri- and pi-gate SOI MOSFETs, IEEE Electron Device Lett. 25, 813-815 (2004).
    • (2004) IEEE Electron Device Lett , vol.25 , pp. 813-815
    • Frei, J.1    Jones, C.2
  • 284
    • 33748505443 scopus 로고    scopus 로고
    • Room-temperature low-dimensional effects in Pi-gate SOI MOSFETs
    • J. P. Colinge, W. Xiong et al., Room-temperature low-dimensional effects in Pi-gate SOI MOSFETs, IEEE Electron Device Lett. 27, 775-777 (2006).
    • (2006) IEEE Electron Device Lett , vol.27 , pp. 775-777
    • Colinge, J.P.1    Xiong, W.2
  • 285
    • 2342652355 scopus 로고    scopus 로고
    • Triple-gate metal-oxidesemiconductor field effect transistors fabricated with interference lithography
    • M. C. Lemme, C. Moormann et al., Triple-gate metal-oxidesemiconductor field effect transistors fabricated with interference lithography, Nanotechnology 15, S208-S210 (2004).
    • (2004) Nanotechnology , vol.15
    • Lemme, M.C.1    Moormann, C.2
  • 286
    • 10744231390 scopus 로고    scopus 로고
    • Subthreshold behavior of triple-gate MOSFETs on SOI material
    • M. C. Lemme, T. Mollenhauer et al., Subthreshold behavior of triple-gate MOSFETs on SOI material, Solid-State Electron. 48, 529-534 (2004).
    • (2004) Solid-State Electron , vol.48 , pp. 529-534
    • Lemme, M.C.1    Mollenhauer, T.2
  • 287
    • 0036999661 scopus 로고    scopus 로고
    • Multiple-gate SOI MOSFETs: Device design guidelines
    • J.-T. Park and J.-P. Colinge, Multiple-gate SOI MOSFETs: Device design guidelines, IEEE Trans. Electron Dev. 49, 2222-2229 (2002).
    • (2002) IEEE Trans. Electron Dev. , vol.49 , pp. 2222-2229
    • Park, J.-T.1    Colinge, J.-P.2
  • 288
    • 84903559990 scopus 로고
    • Scattering suppression and high-mobility effect of sizequantized electrons in ultrafine semiconductorwire structures
    • H. Sakaki, Scattering suppression and high-mobility effect of sizequantized electrons in ultrafine semiconductorwire structures, Jpn. J. Appl. Phys. 19, L735-L738 (1980).
    • (1980) Jpn. J. Appl. Phys. , vol.19
    • Sakaki, H.1
  • 289
    • 3142715886 scopus 로고    scopus 로고
    • Assessment of room-temperature phonon-limited mobility in gated silicon nanowires
    • R. Kotlyar, B. Obradovic et al., Assessment of room-temperature phonon-limited mobility in gated silicon nanowires, Appl. Phys. Lett. 84, 5270-5272 (2004).
    • (2004) Appl. Phys. Lett. , vol.84 , pp. 5270-5272
    • Kotlyar, R.1    Obradovic, B.2
  • 290
  • 291
    • 33847735448 scopus 로고    scopus 로고
    • Bandstructure and orientation effects in ballistic Si and Ge nanwire FETs
    • J. Wang, A. Rahman et al., Bandstructure and orientation effects in ballistic Si and Ge nanwire FETs, Tech. Dig. IEDM, 530-533 (2005).
    • (2005) Tech. Dig. IEDM , pp. 530-533
    • Wang, J.1    Rahman, A.2
  • 292
    • 23944454004 scopus 로고    scopus 로고
    • On the validity of the parabolic effectivemass approximation for the I-V calculation of silicon nanowire transistors
    • J.Wang, A. Rahman et al., On the validity of the parabolic effectivemass approximation for the I-V calculation of silicon nanowire transistors, IEEE Trans. Electron Dev. 52, 1589-1595 (2005).
    • (2005) IEEE Trans. Electron Dev. , vol.52 , pp. 1589-1595
    • Wang, J.1    Rahman, A.2
  • 293
    • 33646498298 scopus 로고    scopus 로고
    • Influence of band structure on electron ballistic transport in silicon nanowire MOSFETs: An atomistic study
    • K. Nehari, N. Cavassilas et al., Influence of band structure on electron ballistic transport in silicon nanowire MOSFETs: An atomistic study, Solid-State Electron. 50, 716-721 (2006).
    • (2006) Solid-State Electron , vol.50 , pp. 716-721
    • Nehari, K.1    Cavassilas, N.2
  • 294
    • 33846882606 scopus 로고    scopus 로고
    • Silicon nanowire bandgap modifications
    • M. Nolan, S. O'Calaghan et al., Silicon nanowire bandgap modifications, Nano Lett. 7, 34-38 (2007).
    • (2007) Nano Lett , vol.7 , pp. 34-38
    • Nolan, M.1    O'Calaghan, S.2
  • 296
    • 30644468372 scopus 로고    scopus 로고
    • Enhanced channel modulation in dual-gated silicon nanowire transistors
    • S. M. Koo, Q.Li et al., Enhanced channel modulation in dual-gated silicon nanowire transistors, Nano Lett. 5, 2519-2523 (2005).
    • (2005) Nano Lett , vol.5 , pp. 2519-2523
    • Koo, S.M.1    Li, Q.2
  • 297
    • 46049119669 scopus 로고    scopus 로고
    • Ultra-narrow silicon nanowire gate-allaround CMOS devices: Impact of diameter, channel orientation and low temperature on device performance
    • paper 20.4
    • N. Singh, F. Y. Lim et al., Ultra-narrow silicon nanowire gate-allaround CMOS devices: Impact of diameter, channel orientation and low temperature on device performance, Tech. Dig. IEDM, paper 20.4 (2006).
    • (2006) Tech. Dig. IEDM
    • Singh, N.1    Lim, F.Y.2
  • 298
    • 43749102056 scopus 로고    scopus 로고
    • 3 dimensional GAA transistors: Twin silicon nanowire MOSFET and multi-bridge-channel MOSFET
    • D. Park, 3 dimensional GAA transistors: Twin silicon nanowire MOSFET and multi-bridge-channel MOSFET, Proc. Int. SOI Conf., 131-134 (2006).
    • (2006) Proc. Int. SOI Conf. , pp. 131-134
    • Park, D.1
  • 299
    • 41149084929 scopus 로고    scopus 로고
    • High-performance twin silicon nanowire MOSFET (TSNWFET) on bulk Si wafer
    • S.-D. Suk, H. Yeo et al., High-performance twin silicon nanowire MOSFET (TSNWFET) on bulk Si wafer, IEEE Trans. Nanotechnol. 7, 181-184 (2008).
    • (2008) IEEE Trans. Nanotechnol. , vol.7 , pp. 181-184
    • Suk, S.-D.1    Yeo, H.2
  • 300
    • 36148984654 scopus 로고    scopus 로고
    • CMOS inverter based on gateall-around silicon-nanowire MOSFETs fabricated using top-down approach
    • S. C. Rustagi, N. Singh et al., CMOS inverter based on gateall-around silicon-nanowire MOSFETs fabricated using top-down approach, IEEE Electron Device Lett. 28, 1021-1024, (2007).
    • (2007) IEEE Electron Device Lett , vol.28 , pp. 1021-1024
    • Rustagi, S.C.1    Singh, N.2
  • 301
    • 33646271349 scopus 로고    scopus 로고
    • High-performance fully depleted silicon nanowire (diameter ≤ 5 nm) gate-all-around CMOS devices
    • N. Singh, A. Agarwal et al., High-performance fully depleted silicon nanowire (diameter ≤ 5 nm) gate-all-around CMOS devices, IEEE Electron Device Lett. 27, 383-386 (2006).
    • (2006) IEEE Electron Device Lett , vol.27 , pp. 383-386
    • Singh, N.1    Agarwal, A.2
  • 302
    • 37749005736 scopus 로고    scopus 로고
    • Carrier-transport-enhanced channel CMOS for improved power consumption and performance
    • S. Takagi, T. Irisawa et al., Carrier-transport-enhanced channel CMOS for improved power consumption and performance, IEEE Trans. Electron Dev. 55, 21-39 (2008).
    • (2008) IEEE Trans. Electron Dev. , vol.55 , pp. 21-39
    • Takagi, S.1    Irisawa, T.2
  • 303
    • 50249158622 scopus 로고    scopus 로고
    • Performance analysis of III-V materials in a double-gate nano-MOSFET
    • K. D. Cantley, Y. Liu et al., Performance analysis of III-V materials in a double-gate nano-MOSFET, Tech. Dig. IEDM, 113-116 (2007).
    • (2007) Tech. Dig. IEDM , pp. 113-116
    • Cantley, K.D.1    Liu, Y.2
  • 304
    • 41749085181 scopus 로고    scopus 로고
    • A simulation study of the switching times of 22- and 17-nm gate-length SOI nFETs on high mobility substrates and Si
    • S. E. Laux, A simulation study of the switching times of 22- and 17-nm gate-length SOI nFETs on high mobility substrates and Si, IEEE Trans. Electron Dev. 54, 2304-2320 (2007).
    • (2007) IEEE Trans. Electron Dev. , vol.54 , pp. 2304-2320
    • Laux, S.E.1
  • 305
    • 41749110294 scopus 로고    scopus 로고
    • Theoretical study of some physical aspects of electronic transport in nMOSFETs at the 10-nm gatelength
    • M. Fischetti, T. P. O'Regan et al., Theoretical study of some physical aspects of electronic transport in nMOSFETs at the 10-nm gatelength, IEEE Trans. Electron Dev. 54, 2116-2136 (2007).
    • (2007) IEEE Trans. Electron Dev. , vol.54 , pp. 2116-2136
    • Fischetti, M.1    O'Regan, T.P.2
  • 306
    • 46049110549 scopus 로고    scopus 로고
    • High mobilitymaterials and novel device structures for high performance nanoscale MOSFETs
    • paper 26.2
    • K. C. Saraswat, C. O. Chui et al., High mobilitymaterials and novel device structures for high performance nanoscale MOSFETs, Tech. Dig. IEDM, paper 26.2 (2006).
    • (2006) Tech. Dig. IEDM
    • Saraswat, K.C.1    Chui, C.O.2
  • 307
    • 34247589618 scopus 로고    scopus 로고
    • Investigation of the performance limits of III-V double-gate n-MOSFETs
    • paper 26.3
    • A. Pethe, T. Krishnamohan et al., Investigation of the performance limits of III-V double-gate n-MOSFETs, Tech. Dig. IEDM, paper 26.3 (2005).
    • (2005) Tech. Dig. IEDM
    • Pethe, A.1    Krishnamohan, T.2
  • 308
    • 33846078206 scopus 로고    scopus 로고
    • Novel channel materials for ballistic nanoscale MOSFETs - bandstructure effects
    • paper 26.2
    • A. Rahman, G. Klimeck, and M. Lundstrom, Novel channel materials for ballistic nanoscale MOSFETs - bandstructure effects, Tech. Dig. IEDM, paper 26.2 (2005).
    • (2005) Tech. Dig. IEDM
    • Rahman, A.1    Klimeck, G.2    Lundstrom, M.3
  • 309
    • 0026121721 scopus 로고
    • Monte Carlo simulation of transport in technologically significant semiconductors of the diamond and zinc-blende structures - Part II: Submicrometer MOSFETs
    • M. V. Fischetti and S. E. Laux, Monte Carlo simulation of transport in technologically significant semiconductors of the diamond and zinc-blende structures - Part II: Submicrometer MOSFETs, IEEE Trans. Electron Dev. 38, 650-660 (1991).
    • (1991) IEEE Trans. Electron Dev. , vol.38 , pp. 650-660
    • Fischetti, M.V.1    Laux, S.E.2
  • 310
    • 46149119210 scopus 로고    scopus 로고
    • High performance Ge pMOS devices using a Si-compatible process flow
    • paper 26.1
    • P. Zimmermann, G. Nicholas et al., High performance Ge pMOS devices using a Si-compatible process flow, Tech. Dig. IEDM, paper 26.1 (2006).
    • (2006) Tech. Dig. IEDM
    • Zimmermann, P.1    Nicholas, G.2
  • 311
    • 33847741038 scopus 로고    scopus 로고
    • Strained Si and GeMOSFETswith high-k/metal gate stack for high mobility dual channel CMOS
    • paper 6.3
    • O.Weber, Y. Bogumilowicz et al., Strained Si and GeMOSFETswith high-k/metal gate stack for high mobility dual channel CMOS, Tech. Dig. IEDM, paper 6.3 (2005).
    • (2005) Tech. Dig. IEDM
    • Weber, O.1    Bogumilowicz, Y.2
  • 312
    • 33748551676 scopus 로고    scopus 로고
    • Germanium channel MOSFETs: Opportunities and challenges
    • H. Shang, M. M. Frank et al., Germanium channel MOSFETs: Opportunities and challenges, IBMJ. Res.& Dev. 50, 377-386 (2006).
    • (2006) IBMJ. Res.& Dev. , vol.50 , pp. 377-386
    • Shang, H.1    Frank, M.M.2
  • 314
    • 0041895059 scopus 로고    scopus 로고
    • 10 years of RF CMOS - But how many products today?
    • S. K. Moore, 10 years of RF CMOS - But how many products today?, Dig. ISSCC, 104-105 (2001).
    • (2001) Dig. ISSCC , pp. 104-105
    • Moore, S.K.1
  • 315
    • 85008048641 scopus 로고    scopus 로고
    • Cheap chips for next wireless frontier
    • June
    • S. K. Moore, Cheap chips for next wireless frontier, IEEE Spectrum 43, 8-9 (June 2006).
    • (2006) IEEE Spectrum , vol.43 , pp. 8-9
    • Moore, S.K.1
  • 316
    • 31344461893 scopus 로고    scopus 로고
    • A 60-GHz CMOS receiver front-end
    • B. Razavi, A 60-GHz CMOS receiver front-end, IEEE J. Solid-State Circuits 41, 17-22 (2006).
    • (2006) IEEE J. Solid-State Circuits , vol.41 , pp. 17-22
    • Razavi, B.1
  • 317
    • 84881998688 scopus 로고    scopus 로고
    • The International Technology Roadmap for Semiconductors ITRS, 2005, 2007 Edition, Semiconductor Industry Association
    • The International Technology Roadmap for Semiconductors ITRS, 2003, 2005, 2007 Edition, Semiconductor Industry Association. See also at http://www.itrs.net/reports.html.
    • (2003)
  • 318
    • 0001948301 scopus 로고
    • Stability and power-gain invariants of linear twoports
    • J.M. Rollett, Stability and power-gain invariants of linear twoports, IRE Trans. Circuit Theory CT-9, 29-32 (1962).
    • (1962) IRE Trans. Circuit Theory , vol.CT-9 , pp. 29-32
    • Rollett, J.M.1
  • 319
    • 84936896840 scopus 로고
    • Power gain in feedback amplifiers
    • S. J. Mason, Power gain in feedback amplifiers, IRE Trans. Circuit Theory CT-1, 20-25, (1954).
    • (1954) IRE Trans. Circuit Theory , vol.CT-1 , pp. 20-25
    • Mason, S.J.1
  • 320
    • 0026121290 scopus 로고
    • Effects of neutral buried p-layer on high-frequency performance of GaAs MESFET's
    • K. Onodera, M. Tokumitsu et al., Effects of neutral buried p-layer on high-frequency performance of GaAs MESFET's, IEEE Trans. Electron Dev. 38, 429-436 (1991).
    • (1991) IEEE Trans. Electron Dev. , vol.38 , pp. 429-436
    • Onodera, K.1    Tokumitsu, M.2
  • 321
    • 0031634551 scopus 로고    scopus 로고
    • Building blocks for digital wireless communications in sub-micron technologies: An overview
    • J. Finol, J. C. Durec and D. K. Lovelace, Building blocks for digital wireless communications in sub-micron technologies: An overview, Proc. 2nd ICCDCS, 113-126 (1998).
    • (1998) Proc. 2nd ICCDCS , pp. 113-126
    • Finol, J.1    Durec, J.C.2    Lovelace, D.K.3
  • 322
    • 30944464048 scopus 로고    scopus 로고
    • Scalability of SOI CMOS technology and circuits to millimeter wave performance
    • J.-O. Plouchard, J. Kim et al., Scalability of SOI CMOS technology and circuits to millimeter wave performance, CSIC Dig., 121-124 (2005).
    • (2005) CSIC Dig , pp. 121-124
    • Plouchard, J.-O.1    Kim, J.2
  • 323
    • 0342591159 scopus 로고
    • Millimeter-wave power transistors and circuits
    • April
    • H. Q. Tserng, B. Kim et al., Millimeter-wave power transistors and circuits, Microwave J. 32, 125-135 (April 1989).
    • (1989) Microwave J , vol.32 , pp. 125-135
    • Tserng, H.Q.1    Kim, B.2
  • 328
    • 0042533173 scopus 로고    scopus 로고
    • MOSFET modeling and parameter extraction for RF ICs
    • M.J. Deen and T. A. Fjeldly (eds.), World Scientific
    • M. Je, I. Kwon et al. MOSFET modeling and parameter extraction for RF ICs, in: M. J. Deen and T. A. Fjeldly (eds.), CMOS RF Modeling, Characterization and Applications, World Scientific (2002).
    • (2002) CMOS RF Modeling, Characterization and Applications
    • Je, M.1    Kwon, I.2
  • 329
    • 4444246797 scopus 로고    scopus 로고
    • MOSFET modeling for RF IC Design
    • M. J. Deen and T. A. Fjeldly (eds.), World Scientific
    • Y. Cheng, MOSFET modeling for RF IC Design, in: M. J. Deen and T. A. Fjeldly (eds.), CMOS RF Modeling, Characterization and Applications, World Scientific (2002).
    • (2002) CMOS RF Modeling, Characterization and Applications
    • Cheng, Y.1
  • 330
    • 0024699745 scopus 로고
    • Importance of source and drain resistance to themaximum fT ofmillimeter-waveMODFET's
    • P. J. Tasker and B. Hughes, Importance of source and drain resistance to themaximum fT ofmillimeter-waveMODFET's, IEEE Electron Device Lett. 10, 291-293 (1989).
    • (1989) IEEE Electron Device Lett , vol.10 , pp. 291-293
    • Tasker, P.J.1    Hughes, B.2
  • 331
    • 33947243464 scopus 로고    scopus 로고
    • Planar bulk MOSFETs versus FinFETs: An analog/RF perspective
    • V. Subramanian, B. Parvais et al., Planar bulk MOSFETs versus FinFETs: An analog/RF perspective, IEEE Trans. Electron Dev. 53, 3071-3079 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 3071-3079
    • Subramanian, V.1    Parvais, B.2
  • 332
    • 33646023723 scopus 로고    scopus 로고
    • Analog/RF performance of multiple gate SOI devices: Wideband simulations and characterization
    • J.-P. Raskin, T. M. Chung et al., Analog/RF performance of multiple gate SOI devices: Wideband simulations and characterization, IEEE Trans. Electron Dev. 53, 1088-1095 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 1088-1095
    • Raskin, J.-P.1    Chung, T.M.2
  • 333
    • 25844498484 scopus 로고    scopus 로고
    • FinFET analogue characterization from dc to 110GHz
    • D. Lederer, V. Kilchytska et al., FinFET analogue characterization from dc to 110GHz, Solid-State Electron. 49, 1488-1496 (2005).
    • (2005) Solid-State Electron , vol.49 , pp. 1488-1496
    • Lederer, D.1    Kilchytska, V.2
  • 334
    • 33751224205 scopus 로고    scopus 로고
    • Scalable and multibias high frequency modeling of multi-fin FETs
    • G. Crupi, D. Schreurs et al., Scalable and multibias high frequency modeling of multi-fin FETs, Solid-State Electron. 50, 1780-1786 (2006).
    • (2006) Solid-State Electron , vol.50 , pp. 1780-1786
    • Crupi, G.1    Schreurs, D.2
  • 335
    • 0018491891 scopus 로고
    • Design of microwave GaAs MESFETs for broadband low-noise amplifiers
    • H. Fukui, Design of microwave GaAs MESFETs for broadband low-noise amplifiers, IEEE Trans. Microwave Theory Tech. 27, 643-650 (1979).
    • (1979) IEEE Trans. Microwave Theory Tech. , vol.27 , pp. 643-650
    • Fukui, H.1
  • 336
    • 0035715830 scopus 로고    scopus 로고
    • A record high 150GHz fmax realized at 0.18 μm gate length in an industrial RF-CMOS technology
    • L. F. Tiemeijer, H. M. J. Boots et al., A record high 150GHz fmax realized at 0.18 μm gate length in an industrial RF-CMOS technology, Tech. Dig. IEDM, 223-226, (2001).
    • (2001) Tech. Dig. IEDM , pp. 223-226
    • Tiemeijer, L.F.1    Boots, H.M.J.2
  • 337
    • 0033727529 scopus 로고    scopus 로고
    • Verification of overlap and fringing capacitance models for MOSFETs
    • N. Wakita and N. Shigyo, Verification of overlap and fringing capacitance models for MOSFETs, Solid-State Electron. 44, 1105-1109 (2000).
    • (2000) Solid-State Electron , vol.44 , pp. 1105-1109
    • Wakita, N.1    Shigyo, N.2
  • 338
    • 0032595355 scopus 로고    scopus 로고
    • Parasitic capacitance of submicrometerMOSFET's
    • K. Suzuki, Parasitic capacitance of submicrometerMOSFET's, IEEE Trans. Electron Dev. 46, 1895-1900 (1999).
    • (1999) IEEE Trans. Electron Dev. , vol.46 , pp. 1895-1900
    • Suzuki, K.1
  • 339
    • 13344270339 scopus 로고    scopus 로고
    • Modeling and optimization of fringe capacitance of nanoscale DGMOS Devices
    • A. Bansal, B. C. Paul and K. Roy, Modeling and optimization of fringe capacitance of nanoscale DGMOS Devices, IEEE Trans. Electron Dev. 52, 256-262 (2005).
    • (2005) IEEE Trans. Electron Dev. , vol.52 , pp. 256-262
    • Bansal, A.1    Paul, B.C.2    Roy, K.3
  • 340
    • 0027879328 scopus 로고
    • High performance 0.1 μm CMOS devices with 1.5V power supply
    • Y. Taur, S. Wind et al., High performance 0.1 μm CMOS devices with 1.5V power supply, Tech. Dig. IEDM, 127-130 (1993).
    • (1993) Tech. Dig. IEDM , pp. 127-130
    • Taur, Y.1    Wind, S.2
  • 341
    • 0035367153 scopus 로고    scopus 로고
    • Cutoff frequency and propagation delay time of 1.5-nm gate oxide CMOS
    • H. S. Momose, E. Morifuji et al., Cutoff frequency and propagation delay time of 1.5-nm gate oxide CMOS, IEEE Trans. Electron Dev. 48, 1165-1174 (2001).
    • (2001) IEEE Trans. Electron Dev. , vol.48 , pp. 1165-1174
    • Momose, H.S.1    Morifuji, E.2
  • 342
    • 0042062210 scopus 로고    scopus 로고
    • RF MOSFET: Recent advances, current status and future trends
    • J. J. Liou and F. Schwierz, RF MOSFET: Recent advances, current status and future trends, Solid-State Electron. 47, 1881-1895 (2003).
    • (2003) Solid-State Electron , vol.47 , pp. 1881-1895
    • Liou, J.J.1    Schwierz, F.2
  • 343
    • 0035714863 scopus 로고    scopus 로고
    • A 185GHz fmax SOI DTMOS with a new metallic overlay-gate for low-power RF applications
    • T. Hirose, Y. Momiyama et al., A 185GHz fmax SOI DTMOS with a new metallic overlay-gate for low-power RF applications, Tech. Dig. IEDM, 943-945 (2001).
    • (2001) Tech. Dig. IEDM , pp. 943-945
    • Hirose, T.1    Momiyama, Y.2
  • 344
    • 0035714396 scopus 로고    scopus 로고
    • High performance sub-40nm CMOS devices on SOI for the 70nm technology node
    • S. Narashima, A. Ajmera et al., High performance sub-40nm CMOS devices on SOI for the 70nm technology node, Tech. Dig. IEDM, 625-628, (2001).
    • (2001) Tech. Dig. IEDM, 625-628
    • Narashima, S.1    Ajmera, A.2
  • 345
    • 46049083438 scopus 로고    scopus 로고
    • A 65nm CMOS SOC technology featuring strained silicon transistors for RF applications
    • paper 17.7
    • I. Post, M. Akbar et al., A 65nm CMOS SOC technology featuring strained silicon transistors for RF applications, Tech. Dig. IEDM, paper 17.7 (2006).
    • (2006) Tech. Dig. IEDM
    • Post, I.1    Akbar, M.2
  • 346
    • 50249158596 scopus 로고    scopus 로고
    • Record RF performance of 45-nm SOI CMOS technology
    • S. Lee, B. Jagannathan et al., Record RF performance of 45-nm SOI CMOS technology, Tech. Dig. IEDM, 255-258 (2007).
    • (2007) Tech. Dig. IEDM , pp. 255-258
    • Lee, S.1    Jagannathan, B.2
  • 347
    • 84882013386 scopus 로고    scopus 로고
    • Microwave transistors: State of the art in the 1980s, 1990, and 2000s - A compilation of 1000 top references, Unpublished, TU Ilmenau
    • F. Schwierz, Microwave transistors: State of the art in the 1980s, 1990, and 2000s - A compilation of 1000 top references, Unpublished, TU Ilmenau (2008).
    • (2008)
    • Schwierz, F.1
  • 348
    • 41149168757 scopus 로고    scopus 로고
    • Balancing SoC design and technology challenges at 45nm
    • J. M. C. Stork, Balancing SoC design and technology challenges at 45nm, Dig. Symp. VLSI Technology, 1-2 (2006).
    • (2006) Dig. Symp. VLSI Technology , pp. 1-2
    • Stork, J.M.C.1
  • 349
    • 33847712940 scopus 로고    scopus 로고
    • Record performance of sub-46 nm Lgate NFETs in microprocessor SOI CMOS technologies
    • paper 10.7
    • S. Lee, L. Wagner et al., Record performance of sub-46 nm Lgate NFETs in microprocessor SOI CMOS technologies, Tech. Dig. IEDM, paper 10.7, (2005).
    • (2005) Tech. Dig. IEDM
    • Lee, S.1    Wagner, L.2
  • 350
    • 4544385361 scopus 로고    scopus 로고
    • A comparison of state-of-the-art NMOS and SiGe HBT devices for analog/mixed-signal/RF circuit applications
    • K. Kuhn, R. Basco et al., A comparison of state-of-the-art NMOS and SiGe HBT devices for analog/mixed-signal/RF circuit applications, Dig. Symp. VLSI Technol, 224-225 (2004).
    • (2004) Dig. Symp. VLSI Technol , pp. 224-225
    • Kuhn, K.1    Basco, R.2
  • 351
    • 33846587988 scopus 로고    scopus 로고
    • Performance trends of Si-based RF transistors
    • F. Schwierz and C. Schippel, Performance trends of Si-based RF transistors, Microelectron. Reliab. 47, 384-390 (2007).
    • (2007) Microelectron. Reliab. , vol.47 , pp. 384-390
    • Schwierz, F.1    Schippel, C.2
  • 352
    • 34547688875 scopus 로고    scopus 로고
    • RF transistors: Recent developments and roadmap toward terahertz applications
    • F. Schwierz and J. J. Liou, RF transistors: Recent developments and roadmap toward terahertz applications, Solid-State Electron. 51, 1079-1091 (2007).
    • (2007) Solid-State Electron , vol.51 , pp. 1079-1091
    • Schwierz, F.1    Liou, J.J.2
  • 353
    • 45749105094 scopus 로고    scopus 로고
    • High-frequency device options for systems-on-chip
    • Issue 6
    • F. Schwierz, High-frequency device options for systems-on-chip, ECS Transactions 11, 445-460, Issue 6 (2007).
    • (2007) ECS Transactions , vol.11 , pp. 445-460
    • Schwierz, F.1
  • 354
    • 21644473397 scopus 로고    scopus 로고
    • RF power potential of 90 nm CMOS: Device options, performance, and reliability
    • J. Scholvin, D. R. Greenberg and J. A. del Alamo, RF power potential of 90 nm CMOS: Device options, performance, and reliability, Tech. Dig. IEDM, 455-458 (2004).
    • (2004) Tech. Dig. IEDM , pp. 455-458
    • Scholvin, J.1    Greenberg, D.R.2    del Alamo, J.A.3
  • 355
    • 33847730228 scopus 로고    scopus 로고
    • Performance and limitations of 65 nm CMOS for integrated RF power applications
    • paper 15. 3
    • J. Scholvin, D. R. Greenberg and J. A. del Alamo, Performance and limitations of 65 nm CMOS for integrated RF power applications, Tech. Dig. IEDM paper 15.3 (2005).
    • (2005) Tech. Dig. IEDM
    • Scholvin, J.1    Greenberg, D.R.2    del Alamo, J.A.3
  • 356
    • 46049112721 scopus 로고    scopus 로고
    • Fundamental power and frequency limits of deeply-scaled CMOS for RF power applications
    • paper 8.4
    • J. Scholvin, D. R. Greenberg and J. A. del Alamo, Fundamental power and frequency limits of deeply-scaled CMOS for RF power applications, Tech. Dig. IEDM, paper 8.4 (2006).
    • (2006) Tech. Dig. IEDM
    • Scholvin, J.1    Greenberg, D.R.2    del Alamo, J.A.3
  • 357
    • 33750522249 scopus 로고    scopus 로고
    • A short-channel SOI RF power LDMOS technology with TiSi2 salicide on dual sidewalls with cutoff frequency fT ~ 19.3GHz
    • R. Yang, J. F. Li et al., A short-channel SOI RF power LDMOS technology with TiSi2 salicide on dual sidewalls with cutoff frequency fT ~ 19.3GHz, IEEE Electron Device Lett. 27, 917-919 (2006).
    • (2006) IEEE Electron Device Lett , vol.27 , pp. 917-919
    • Yang, R.1    Li, J.F.2
  • 358
    • 34247518302 scopus 로고    scopus 로고
    • A complementary RF-LDMOS architecture compatible with 0.13μm CMOS technology
    • N. R. Mohapatra, H. Ruecker et al., A complementary RF-LDMOS architecture compatible with 0.13μm CMOS technology, Proc. ISPSD, 1-4 (2006).
    • (2006) Proc. ISPSD , pp. 1-4
    • Mohapatra, N.R.1    Ruecker, H.2
  • 359
    • 0036538951 scopus 로고    scopus 로고
    • Experimental comparison of RF power LDMOSFETs on thin-film SOI and bulk Silicon
    • J. G. Fiorenza and J. A. del Alamo, Experimental comparison of RF power LDMOSFETs on thin-film SOI and bulk Silicon, IEEE Trans. Electron Dev. 49, 687-692 (2002).
    • (2002) IEEE Trans. Electron Dev. , vol.49 , pp. 687-692
    • Fiorenza, J.G.1    del Alamo, J.A.2
  • 361
    • 12444338949 scopus 로고    scopus 로고
    • RF power performance of an LDMOSFET on high-resistivity SOI
    • J. G. Fiorenza and J. A. del Alamo, RF power performance of an LDMOSFET on high-resistivity SOI, IEEE Electron Device Lett. 26, 29-31 (2005).
    • (2005) IEEE Electron Device Lett , vol.26 , pp. 29-31
    • Fiorenza, J.G.1    del Alamo, J.A.2
  • 362
    • 0035367875 scopus 로고    scopus 로고
    • A high-efficiency thinfilm SOI power MOSFET having a self-aligned offset gate structure for multi-gigahertz applications
    • S. Matsumoto, Y. Hiraoka and T. Sakai, A high-efficiency thinfilm SOI power MOSFET having a self-aligned offset gate structure for multi-gigahertz applications, IEEE Trans. Electron Dev. 48, 1270-1274 (2001).
    • (2001) IEEE Trans. Electron Dev. , vol.48 , pp. 1270-1274
    • Matsumoto, S.1    Hiraoka, Y.2    Sakai, T.3
  • 363
    • 41449094016 scopus 로고    scopus 로고
    • Cost-effective integrated RF power transistor in 0.18-μm CMOS technology
    • T. Yan, Y. Z. Xiong et al., Cost-effective integrated RF power transistor in 0.18-μm CMOS technology, IEEE Electron Device Lett. 27, 856-858, (2006).
    • (2006) IEEE Electron Device Lett , vol.27 , pp. 856-858
    • Yan, T.1    Xiong, Y.Z.2
  • 364
    • 34748898038 scopus 로고    scopus 로고
    • High-linearity performance of 0.13-μm CMOS devices using field-plate technology
    • C.-C. Wei, H.-C. Chiu and W.-S. Feng, High-linearity performance of 0.13-μm CMOS devices using field-plate technology, IEEE Electron Device Lett. 27, 843-845, (2006).
    • (2006) IEEE Electron Device Lett , vol.27 , pp. 843-845
    • Wei, C.-C.1    Chiu, H.-C.2    Feng, W.-S.3
  • 365
    • 0036541341 scopus 로고    scopus 로고
    • 1W/mm RF power density at 3.2GHz for a dual-layer RESURF LDMOS transistor
    • J. Olsson, N. Rorsman et al., 1W/mm RF power density at 3.2GHz for a dual-layer RESURF LDMOS transistor, IEEE Electron Device Lett. 23, 206-208, (2002).
    • (2002) IEEE Electron Device Lett , vol.23 , pp. 206-208
    • Olsson, J.1    Rorsman, N.2
  • 366
    • 0842309799 scopus 로고    scopus 로고
    • Record efficiency and gain at 2.1GHz of high power RF transistors for cellular and 3G base stations
    • paper 15.1
    • H. Brech,W. Brakensiek et al., Record efficiency and gain at 2.1GHz of high power RF transistors for cellular and 3G base stations, Tech. Dig. IEDM, paper 15.1, (2003).
    • (2003) Tech. Dig. IEDM
    • Brech, H.1    Brakensiek, W.2
  • 367
    • 33847741758 scopus 로고    scopus 로고
    • High frequency power LDMOS technologies for base station applications. Status, potential, and benchmarking
    • paper 15.1
    • G. Ma, Q. Chen et al., High frequency power LDMOS technologies for base station applications. Status, potential, and benchmarking, Tech. Dig. IEDM, paper 15.1, (2005).
    • (2005) Tech. Dig. IEDM
    • Ma, G.1    Chen, Q.2
  • 368
    • 46049097991 scopus 로고    scopus 로고
    • Efficiency improvement of LDMOS transistors for base stations: Towards the theoretical limit
    • paper 8.1
    • F. van Rijs and S. J. C. H. Theeuwen, Efficiency improvement of LDMOS transistors for base stations: Towards the theoretical limit, Tech. Dig. IEDM, paper 8.1, (2006).
    • (2006) Tech. Dig. IEDM
    • van Rijs, F.1    Theeuwen, S.J.C.H.2
  • 369
    • 0028744680 scopus 로고
    • First high performance InAlAs/InGaAs HEMTs on GaAs exceeding that on InP
    • K. Higuchi,M. Kudo et al., First high performance InAlAs/InGaAs HEMTs on GaAs exceeding that on InP, Tech. Dig. IEDM, 891-894, (1994).
    • (1994) Tech. Dig. IEDM , pp. 891-894
    • Higuchi, K.1    Kudo, M.2
  • 370
    • 0033716503 scopus 로고    scopus 로고
    • GaAs metamorphic HEMT (MHEMT): An attractive alternative to InP HEMTs for high performance low noise and power applications
    • C. S. Whelan, P. F. Marsh et al., GaAs metamorphic HEMT (MHEMT): An attractive alternative to InP HEMTs for high performance low noise and power applications, Proc. IPRM, 337-340 (2000).
    • (2000) Proc. IPRM , pp. 337-340
    • Whelan, C.S.1    Marsh, P.F.2
  • 371
    • 48649087261 scopus 로고    scopus 로고
    • Sub 50 nm HEMT device with fmax greater than 1 THz
    • R. Lai, X. B. Mei et al., Sub 50 nm HEMT device with fmax greater than 1 THz, Tech. Dig. IEDM, 609-611 (2007).
    • (2007) Tech. Dig. IEDM , pp. 609-611
    • Lai, R.1    Mei, X.B.2
  • 372
    • 0024753982 scopus 로고
    • Characterization of ultra-highspeed pseudomorphic AlGaAs/InGaAs (on GaAs) MODFETs
    • L. D. Nguyen, P. J. Tasker et al., Characterization of ultra-highspeed pseudomorphic AlGaAs/InGaAs (on GaAs) MODFETs, IEEE Trans. Electron Dev. 36, 2243-2248 (1989).
    • (1989) IEEE Trans. Electron Dev. , vol.36 , pp. 2243-2248
    • Nguyen, L.D.1    Tasker, P.J.2
  • 373
    • 0025588053 scopus 로고
    • 94-GHz 0.1-μm T-gate low-noise pseudomorphic InGaAs HEMTs
    • K. L. Tan, R. M. Dia et al., 94-GHz 0.1-μm T-gate low-noise pseudomorphic InGaAs HEMTs, IEEE Electron Device Lett. 11, 585-587, (1990).
    • (1990) IEEE Electron Device Lett , vol.11 , pp. 585-587
    • Tan, K.L.1    Dia, R.M.2
  • 374
    • 0023978217 scopus 로고
    • 0.1μm gate length MODFETs with unity current gain cutoff frequency above 110GHz
    • A. N. Lepore, H. M. Levy et al., 0.1μm gate length MODFETs with unity current gain cutoff frequency above 110GHz, Electron. Lett. 24, 364-366 (1988).
    • (1988) Electron. Lett. , vol.24 , pp. 364-366
    • Lepore, A.N.1    Levy, H.M.2
  • 375
    • 0024091911 scopus 로고
    • Super low-noise HEMTs with a T-Shaped WSix gate
    • I. Hanyu, S. Asai et al., Super low-noise HEMTs with a T-Shaped WSix gate, Electron. Lett. 24, 1327-1328 (1988).
    • (1988) Electron. Lett. , vol.24 , pp. 1327-1328
    • Hanyu, I.1    Asai, S.2
  • 376
    • 27744593795 scopus 로고    scopus 로고
    • 50-nm T-gate metamorphic GaAs HEMTs with fT of 440GHz and noise figure of 0.7 dB at 26GHz
    • K. Elgaid, H. McLelland et al., 50-nm T-gate metamorphic GaAs HEMTs with fT of 440GHz and noise figure of 0.7 dB at 26GHz, IEEE Electron Device Lett. 26, 784-786 (2005).
    • (2005) IEEE Electron Device Lett , vol.26 , pp. 784-786
    • Elgaid, K.1    McLelland, H.2
  • 377
    • 33645462040 scopus 로고    scopus 로고
    • High-performance 94-GHz single balanced mixer using 70-nm MHEMTs and surface micromachined technology
    • S. C. Kim, D. An et al., High-performance 94-GHz single balanced mixer using 70-nm MHEMTs and surface micromachined technology, IEEE Electron Device Lett. 27, 28-30, (2006).
    • (2006) IEEE Electron Device Lett , vol.27 , pp. 28-30
    • Kim, S.C.1    An, D.2
  • 378
    • 41749090285 scopus 로고    scopus 로고
    • 610GHz InAlAs/In0.75GaAsmetamorphic HEMTs with an ultra-short 15-nm-gate
    • S.-J. Yeon,M. Park et al., 610GHz InAlAs/In0.75GaAsmetamorphic HEMTs with an ultra-short 15-nm-gate, Tech. Dig. IEDM, 613-616, (2007).
    • (2007) Tech. Dig. IEDM , pp. 613-616
    • Yeon, S.-J.1    Park, M.2
  • 379
    • 0036803456 scopus 로고    scopus 로고
    • Pseudomorphic In0.52Al0.48As/ In0.7Ga0.3As HEMTs with an ultrahigh fT of 562GHz
    • Y. Yamashita, A. Endoh et al., Pseudomorphic In0.52Al0.48As/ In0.7Ga0.3As HEMTs with an ultrahigh fT of 562GHz, IEEE Electron Device Lett. 23, 573-575, (2002).
    • (2002) IEEE Electron Device Lett , vol.23 , pp. 573-575
    • Yamashita, Y.1    Endoh, A.2
  • 380
    • 0029342529 scopus 로고
    • W-band high efficiency InP-based power HEMT with 600GHz fmax
    • P. M. Smith, S.-M. J. Liu et al., W-band high efficiency InP-based power HEMT with 600GHz fmax, IEEE Microwave Guided Wave Lett. 5, 230-232, (1995).
    • (1995) IEEE Microwave Guided Wave Lett , vol.5 , pp. 230-232
    • Smith, P.M.1    Liu, S.-M.2
  • 381
    • 34748896566 scopus 로고    scopus 로고
    • Extremely high gm > 2.2 S/mm and fT > 550GHz in 30-nm enhancement-mode InP-HEMTs with Pt/Mo/Ti/Pt/Au buried gate
    • K. Shinohara, W. Ha et al., Extremely high gm > 2.2 S/mm and fT > 550GHz in 30-nm enhancement-mode InP-HEMTs with Pt/Mo/Ti/Pt/Au buried gate, Proc. IPRM, 18-21, (2007).
    • (2007) Proc. IPRM , pp. 18-21
    • Shinohara, K.1    Ha, W.2
  • 382
    • 21644466675 scopus 로고    scopus 로고
    • Ultra high-speed 0.25-μm emitter InP-InGaAs SHBTs with fmax of 687GHz
    • D. Yu, K. Choi et al., Ultra high-speed 0.25-μm emitter InP-InGaAs SHBTs with fmax of 687GHz, Tech. Dig. IEDM, 557-560 (2004).
    • (2004) Tech. Dig. IEDM , pp. 557-560
    • Yu, D.1    Choi, K.2
  • 383
    • 12444326284 scopus 로고    scopus 로고
    • InGaAs-InP DHBTs for increased digital IC bandwidth having a 391-GHz fT and 505-GHz fmax
    • Z. Griffith, M. Dahlström et al., InGaAs-InP DHBTs for increased digital IC bandwidth having a 391-GHz fT and 505-GHz fmax, IEEE Electron Device Lett. 26, 11-13, (2005).
    • (2005) IEEE Electron Device Lett , vol.26 , pp. 11-13
    • Griffith, Z.1    Dahlström, M.2
  • 384
    • 23844444783 scopus 로고    scopus 로고
    • InGaAs/InP DHBTswith 120-nm collector having simultaneously high fT, fmax ≥ 450GHz
    • Z. Griffith,M. J.W. Rodwell et al., InGaAs/InP DHBTswith 120-nm collector having simultaneously high fT, fmax ≥ 450GHz, IEEE Electron Device Lett. 26, 527-529 (2005).
    • (2005) IEEE Electron Device Lett , vol.26 , pp. 527-529
    • Griffith, Z.1    Rodwell, M.J.W.2
  • 386
    • 0041672436 scopus 로고    scopus 로고
    • 3.9 ps SiGe HBT ECL ring oscillator and transistor design for minimum gate delay
    • B. Jagannathan, M. Meghelli et al., 3.9 ps SiGe HBT ECL ring oscillator and transistor design for minimum gate delay, IEEE Electron Device Lett. 24, 324-326 (2003).
    • (2003) IEEE Electron Device Lett , vol.24 , pp. 324-326
    • Jagannathan, B.1    Meghelli, M.2
  • 387
    • 21644474327 scopus 로고    scopus 로고
    • SiGe HBT technology with fmax/ fT = 350/300 GHz and gate delay below 3.3 ps
    • M. Khater, J.-S. Rieh et al., SiGe HBT technology with fmax/ fT = 350/300 GHz and gate delay below 3.3 ps, Tech. Dig. IEDM, 247-250 (2004).
    • (2004) Tech. Dig. IEDM , pp. 247-250
    • Khater, M.1    Rieh, J.-S.2
  • 388
    • 21644443368 scopus 로고    scopus 로고
    • 3.3 ps SiGe bipolar technology
    • J. Böck, H. Schäfer et al., 3.3 ps SiGe bipolar technology, Tech. Dig. IEDM, 255-258 (2004).
    • (2004) Tech. Dig. IEDM , pp. 255-258
    • Böck, J.1    Schäfer, H.2
  • 389
    • 84886448028 scopus 로고    scopus 로고
    • InGaP/GaAs HBTs with high-speed and low-current operation fabricated using WSi/Ti as the base electrode and burying SiO2 in the extrinsic emitter
    • T. Oka, K. Hirata et al., InGaP/GaAs HBTs with high-speed and low-current operation fabricated using WSi/Ti as the base electrode and burying SiO2 in the extrinsic emitter, Tech. Dig. IEDM, 739-742 (1997).
    • (1997) Tech. Dig. IEDM , pp. 739-742
    • Oka, T.1    Hirata, K.2
  • 390
    • 0032278083 scopus 로고    scopus 로고
    • Advanced performance of small-scaled In-GaP/GaAs HBTs with fT over 150GHz and fmax over 250GHz
    • T. Oka, K. Hirata et al., Advanced performance of small-scaled In-GaP/GaAs HBTs with fT over 150GHz and fmax over 250GHz, Tech. Dig. IEDM, 653-656 (1998).
    • (1998) Tech. Dig. IEDM , pp. 653-656
    • Oka, T.1    Hirata, K.2
  • 391
    • 85205354386 scopus 로고    scopus 로고
    • 40-W/mm double field-plated GaN HEMTs
    • Y.-F. Wu, M. Moore et al., 40-W/mm double field-plated GaN HEMTs, Proc. Dev. Res. Conf., 151-152 (2006).
    • (2006) Proc. Dev. Res. Conf. , pp. 151-152
    • Wu, Y.-F.1    Moore, M.2
  • 392
    • 1642359162 scopus 로고    scopus 로고
    • 30-W/mm GaN HEMTs by field plate optimization
    • Y.-F.Wu, A. Saxler et al., 30-W/mm GaN HEMTs by field plate optimization, IEEE Electron Device Lett. 25, 117-119 (2004).
    • (2004) IEEE Electron Device Lett , vol.25 , pp. 117-119
    • Wu, Y.-F.1    Saxler, A.2
  • 393
    • 27744444565 scopus 로고    scopus 로고
    • High-power AlGaN/GaN HEMTs for Ka-band applications
    • T. Palacios, A. Chakraborty et al., High-power AlGaN/GaN HEMTs for Ka-band applications, IEEE ElectronDevice Lett. 26, 781- 783 (2005).
    • (2005) IEEE ElectronDevice Lett , vol.26 , pp. 781-783
    • Palacios, T.1    Chakraborty, A.2
  • 394
    • 46049092224 scopus 로고    scopus 로고
    • GaN HFET for W-band power applications
    • paper 15.6
    • M. Micowic, A. Kurdoghlian et al., GaN HFET for W-band power applications, Tech. Dig. IEDM, paper 15.6 (2006).
    • (2006) Tech. Dig. IEDM
    • Micowic, M.1    Kurdoghlian, A.2
  • 395
    • 84951490594 scopus 로고
    • A new field-effect transistor with selectively doped GaAs/n-AlxGa1-xAs heterojunctions
    • T. Mimura, S. Hiyamizu et al, A new field-effect transistor with selectively doped GaAs/n-AlxGa1-xAs heterojunctions, Jpn. J. Appl. Phys. 19, L225-L227 (1980).
    • (1980) Jpn. J. Appl. Phys. , vol.19
    • Mimura, T.1    Hiyamizu, S.2
  • 396
    • 0025418366 scopus 로고
    • Reverse modeling of E/D logic submicrometer MODFETs and prediction of maximum extrinsic MODFET current gain cutoff frequency
    • R. Rhodin, Reverse modeling of E/D logic submicrometer MODFETs and prediction of maximum extrinsic MODFET current gain cutoff frequency, IEEE Trans. Electron Dev. 37, 920-934, (1990).
    • (1990) IEEE Trans. Electron Dev. , vol.37 , pp. 920-934
    • Rhodin, R.1
  • 397
    • 0032217971 scopus 로고    scopus 로고
    • InAs Channel HFETs: Current status and future trends
    • C. R. Bolognesi, InAs Channel HFETs: Current status and future trends, Proc. ISSSE, 56-61, (1998).
    • (1998) Proc. ISSSE , pp. 56-61
    • Bolognesi, C.R.1
  • 398
    • 0031191310 scopus 로고    scopus 로고
    • Elementary scattering theory of the Si MOSFET
    • M. Lundstrom, Elementary scattering theory of the Si MOSFET, IEEE Electron Device Lett., 18, 361-363 (1997).
    • (1997) IEEE Electron Device Lett , vol.18 , pp. 361-363
    • Lundstrom, M.1
  • 399
    • 50249092731 scopus 로고    scopus 로고
    • 0.1 μmIn0. 2 Al0. 8Sb-InAs HEMT lownoise amplifier for ultralow-power applications
    • Y. C. Chou,M. D. Lange et al., 0.1 μmIn0.2 Al0.8Sb-InAs HEMT lownoise amplifier for ultralow-power applications Tech. Dig. IEDM, 617-620 (2007).
    • (2007) Tech. Dig. IEDM , pp. 617-620
    • Chou, Y.C.1    Lange, M.D.2
  • 400
    • 33846611741 scopus 로고    scopus 로고
    • 85nm gate length enhancement and depletionmode InSb quantum well transistors for ultra high speed and very low power digital logic applications
    • paper 32.1
    • S. Datta, T. Ashley et al., 85nm gate length enhancement and depletionmode InSb quantum well transistors for ultra high speed and very low power digital logic applications, Tech. Dig. IEDM, paper 32.1, (2005).
    • (2005) Tech. Dig. IEDM
    • Datta, S.1    Ashley, T.2
  • 401
    • 0026121721 scopus 로고
    • Monte Carlo simulation of transport in technologically significant semiconductors of the diamond and zinc-blende structures - Part II: Submicrometer MOSFETs
    • M. V. Fischetti and S. E. Laux, Monte Carlo simulation of transport in technologically significant semiconductors of the diamond and zinc-blende structures - Part II: Submicrometer MOSFETs, IEEE Trans. Electon Dev. 38, 650-660 (1991).
    • (1991) IEEE Trans. Electon Dev , vol.38 , pp. 650-660
    • Fischetti, M.V.1    Laux, S.E.2
  • 402
    • 0032187666 scopus 로고    scopus 로고
    • Generalized scale length for two-dimensional effects in MOSFETs
    • D. J. Frank, Y. Taur and H.-S. Wong, Generalized scale length for two-dimensional effects in MOSFETs, IEEE Electron Device Lett. 19, 385-387 (1998).
    • (1998) IEEE Electron Device Lett , vol.19 , pp. 385-387
    • Frank, D.J.1    Taur, Y.2    Wong, H.-S.3
  • 403
    • 84882009773 scopus 로고    scopus 로고
    • Indium phosphide MOS circuits: The ultimate speed frontier
    • M. Passlack, Indium phosphide MOS circuits: The ultimate speed frontier, Private communication.
    • Private communication
    • Passlack, M.1
  • 405
    • 24944554984 scopus 로고    scopus 로고
    • The road to miniaturization
    • Sept
    • H. Wong and H. Iwai, The road to miniaturization, Phys. World 18, 40-44 (Sept. 2005).
    • (2005) Phys. World , vol.18 , pp. 40-44
    • Wong, H.1    Iwai, H.2
  • 406
    • 33746862976 scopus 로고    scopus 로고
    • On the scaling issues and high-k replacement of ultrathin gate dielectrics for nanoscaleMOS transistors
    • H.Wong and H. Iwai, On the scaling issues and high-k replacement of ultrathin gate dielectrics for nanoscaleMOS transistors,Microelectron. Eng. 83, 1867-1904 (2006).
    • (2006) Microelectron. Eng. , vol.83 , pp. 1867-1904
    • Wong, H.1    Iwai, H.2
  • 407
    • 0003679027 scopus 로고
    • (ed.), 2nd Edition,McGraw-Hill
    • S. M. Sze (ed.), VLSI Technology, 2nd Edition,McGraw-Hill (1988).
    • (1988) VLSI Technology
    • Sze, S.M.1
  • 413
    • 33747698419 scopus 로고    scopus 로고
    • Current issues and future prospects of lithography
    • S. Okazaki, Current issues and future prospects of lithography, Int. J. High-Speed Electron. Syst. 16, 375-387 (2006).
    • (2006) Int. J. High-Speed Electron. Syst. , vol.16 , pp. 375-387
    • Okazaki, S.1
  • 415
    • 33751510228 scopus 로고    scopus 로고
    • Optical lithography - a historical perspective
    • K. Ronse, Optical lithography - a historical perspective, C. R. Physique 7, 844-857 (2006).
    • (2006) C. R. Physique , vol.7 , pp. 844-857
    • Ronse, K.1
  • 416
    • 0035519137 scopus 로고    scopus 로고
    • Immersion lithography at 157 nm
    • M. Switkes and M. Rothchild, Immersion lithography at 157 nm, J. Vac. Sci. Technol. B19, 2353-2356 (2001).
    • (2001) J. Vac. Sci. Technol , vol.B19 , pp. 2353-2356
    • Switkes, M.1    Rothchild, M.2
  • 417
    • 84881984571 scopus 로고    scopus 로고
    • Nikon immersion tool development
    • S. Owa, H. Nagasaka et al., Nikon immersion tool development Int. Symp. Immersion and 157 nm Lithography 2004, see also at http://sematech.org/meetings/archives/litho/immersion/ 20040128/Day 1 presentations/1-16 Owa Nikon.pdf.
    • Int. Symp. Immersion and 157 nm Lithography 2004
    • Owa, S.1    Nagasaka, H.2
  • 418
    • 33751537236 scopus 로고    scopus 로고
    • Optical lithography- present and future challenges
    • B. J. Lin, Optical lithography- present and future challenges, C. R. Physique 7, 858-874 (2006).
    • (2006) C. R. Physique , vol.7 , pp. 858-874
    • Lin, B.J.1
  • 419
    • 33845206670 scopus 로고    scopus 로고
    • EUV lithography
    • K. Kemp and S. Wurm, EUV lithography, C. R. Physique 7, 875-886, 2006.
    • (2006) C. R. Physique , vol.7 , pp. 875-886
    • Kemp, K.1    Wurm, S.2
  • 420
    • 0017972254 scopus 로고
    • Variable spot shaping for electron-beamlithography
    • H. C. Pfeifer, Variable spot shaping for electron-beamlithography, J. Vac. Sci. Technol. 15, 887-890, 1978
    • (1978) J. Vac. Sci. Technol. , vol.15 , pp. 887-890
    • Pfeifer, H.C.1
  • 421
    • 0017973616 scopus 로고
    • Design of a variable-aperture projection and scanning systemfor electron beam
    • E. Goto, T. Soma andM. Idesawa, Design of a variable-aperture projection and scanning systemfor electron beam, J. Vac. Sci. Technol. 15, 883-886, 1978.
    • (1978) J. Vac. Sci. Technol. , vol.15 , pp. 883-886
    • Goto, E.1    Soma, T.2    Idesawa, M.3
  • 422
    • 0000505521 scopus 로고
    • Electron-beam cell projection lithography: A new high-throughput electron-beam direct-writing technology using a specially tailored Si aperture
    • Y. Nakayama, S. Okazaki et al., Electron-beam cell projection lithography: A new high-throughput electron-beam direct-writing technology using a specially tailored Si aperture, J. Vac. Sci. Technol. B8, 1836-1840, 1990.
    • (1990) J. Vac. Sci. Technol , vol.B8 , pp. 1836-1840
    • Nakayama, Y.1    Okazaki, S.2
  • 424
    • 0942300073 scopus 로고    scopus 로고
    • Imprint lithography for integrated circuit fabrication
    • D. J. Resnick, W. J. Dauksher et al., Imprint lithography for integrated circuit fabrication, J. Vac. Sci. Technol. B21, 2624-2631, 2003.
    • (2003) J. Vac. Sci. Technol , vol.B21 , pp. 2624-2631
    • Resnick, D.J.1    Dauksher, W.J.2
  • 425
    • 0032625408 scopus 로고    scopus 로고
    • Step and flash imprint lithography: A new approach to high-resolution patterning
    • M. Colburn, S. Johnson et al., Step and flash imprint lithography: A new approach to high-resolution patterning, Proc. SPIE 3676, 379-389 (1999).
    • (1999) Proc. SPIE , vol.3676 , pp. 379-389
    • Colburn, M.1    Johnson, S.2
  • 427
    • 0032624549 scopus 로고    scopus 로고
    • Plasma-etching processes for ULSI semiconductor circuits
    • M. Armacost, P. D. Hoh et al. Plasma-etching processes for ULSI semiconductor circuits, IBM J. Res. & Dev. 43, 39-72 (1999).
    • (1999) IBM J. Res. & Dev. , vol.43 , pp. 39-72
    • Armacost, M.1    Hoh, P.D.2
  • 428
    • 0001890931 scopus 로고
    • Design of high density plasma sources for materials processing
    • M. Francombe and J. Vossen (eds.), Academic Press
    • M. A. Lieberman and R. A. Gottscho, Design of high density plasma sources for materials processing, in: M. Francombe and J. Vossen (eds.), Physics of Thin Films, Academic Press (1993).
    • (1993) Physics of Thin Films
    • Lieberman, M.A.1    Gottscho, R.A.2
  • 430
    • 84882005694 scopus 로고
    • A method for producing compound thin films, U.S. Patent 4,058,430, filed
    • T. Suntola and J. Antson, A method for producing compound thin films, U.S. Patent 4,058,430, filed 1975.
    • (1975)
    • Suntola, T.1    Antson, J.2
  • 432
    • 0035475785 scopus 로고    scopus 로고
    • ALD break materials conformality barriers
    • Oct
    • A. E. Braun, ALD break materials conformality barriers, Semicond. Int. 24, 52-58 (Oct. 2001).
    • (2001) Semicond. Int. , vol.24 , pp. 52-58
    • Braun, A.E.1
  • 433
    • 23244462592 scopus 로고    scopus 로고
    • High-k gate dielectrics
    • Iss. 2
    • D. Misra, H. Iwai and H. Wong, High-k gate dielectrics, Interface 14, 30-34 (Iss. 2 2005).
    • (2005) Interface , vol.14 , pp. 30-34
    • Misra, D.1    Iwai, H.2    Wong, H.3
  • 434
    • 0026124109 scopus 로고
    • Material and electrical properties of ultra-shallow p+n junction formed by low-energy ion implantation and rapid thermal annealing
    • S. N. Hong, G. A. Ruggles et al., Material and electrical properties of ultra-shallow p+n junction formed by low-energy ion implantation and rapid thermal annealing, IEEE Trans. Electron Dev. 38, 476-486 (1991).
    • (1991) IEEE Trans. Electron Dev. , vol.38 , pp. 476-486
    • Hong, S.N.1    Ruggles, G.A.2
  • 435
    • 0026954491 scopus 로고
    • Ultra-shallow junction formation using silicide as diffusion source and lowthermal budget
    • Q. Wang, C. M. Osburn, and C. A. Canovai, Ultra-shallow junction formation using silicide as diffusion source and lowthermal budget, IEEE Trans Electron Dev. 39, 2486-2496 (1992).
    • (1992) IEEE Trans Electron Dev , vol.39 , pp. 2486-2496
    • Wang, Q.1    Osburn, C.M.2    Canovai, C.A.3
  • 436
    • 0030284591 scopus 로고    scopus 로고
    • Plasma immersion ion implantation for semiconductor processing
    • N.W. Cheung, Plasma immersion ion implantation for semiconductor processing,Mater. Chem. Phys. 46, 132-139 (1996).
    • (1996) Mater. Chem. Phys. , vol.46 , pp. 132-139
    • Cheung, N.W.1
  • 437
    • 0032158287 scopus 로고    scopus 로고
    • N+P ultra-shallow junction on silicon by immersion ion implantation
    • B. L. Yang, E. C. Jones et al., N+P ultra-shallow junction on silicon by immersion ion implantation, Microelectron. Reliab. 38, 1489-1494, (1998).
    • (1998) Microelectron. Reliab. , vol.38 , pp. 14891494
    • Yang, B.L.1    Jones, E.C.2
  • 438
    • 0036891289 scopus 로고    scopus 로고
    • Ultra-shallow n+p junction formed by PH3 and AsH3 plasma immersion ion implantation
    • B. L. Yang, N. W. Cheung et al., Ultra-shallow n+p junction formed by PH3 and AsH3 plasma immersion ion implantation, Microelectron. Reliab. 42, 1985-1989 (2002).
    • (2002) Microelectron. Reliab. , vol.42 , pp. 1985-1989
    • Yang, B.L.1    Cheung, N.W.2
  • 439
    • 0032157147 scopus 로고    scopus 로고
    • Thermal stability of cobalt and nickel silicides
    • M. C. Poon, M. Wong et al., Thermal stability of cobalt and nickel silicides,Microelectron. Reliab. 38, 1495-1498 (1998).
    • (1998) Microelectron. Reliab. , vol.38 , pp. 1495-1498
    • Poon, M.C.1    Wong, M.2
  • 440
    • 84882003757 scopus 로고    scopus 로고
    • Edition
    • The International Technology Roadmap for Semiconductors ITRS, 1999, 2001, 2003, 2005
    • The International Technology Roadmap for Semiconductors ITRS, 1999, 2001, 2003, 2005, 2007 Edition, Semiconductor Industry Association. See also at http://www.itrs.net/reports.html.
    • (2007) Semiconductor Industry Association
  • 441
    • 0036496803 scopus 로고    scopus 로고
    • Recent developments in silicon optoelectronic devices
    • H. Wong, Recent developments in silicon optoelectronic devices, Microelectron. Reliab. 42, 317-326 (2002).
    • (2002) Microelectron. Reliab. , vol.42 , pp. 317-326
    • Wong, H.1
  • 442
    • 0036508039 scopus 로고    scopus 로고
    • Beyond the conventional transistor
    • H. S. Wong, Beyond the conventional transistor, IBM J. Res. & Dev. 46, 133-168 (2002).
    • (2002) IBM J. Res. & Dev. , vol.46 , pp. 133-168
    • Wong, H.S.1
  • 443
    • 0036930466 scopus 로고    scopus 로고
    • Does source-to-drain tunneling limit the ultimate scaling of MOSFETs?
    • J. Wang and M. Lundstrom, Does source-to-drain tunneling limit the ultimate scaling of MOSFETs?, Tech. Dig. IEDM, 707-710 (2002).
    • (2002) Tech. Dig. IEDM , pp. 707-710
    • Wang, J.1    Lundstrom, M.2
  • 444
    • 0037965994 scopus 로고    scopus 로고
    • Direct tunneling from source to drain in nanometer-scale silicon transistors
    • H. Kawaura and T. Baba, Direct tunneling from source to drain in nanometer-scale silicon transistors, Jpn. J. Appl. Phys. 42, 351-357 (2003).
    • (2003) Jpn. J. Appl. Phys. , vol.42 , pp. 351-357
    • Kawaura, H.1    Baba, T.2
  • 445
    • 0442296353 scopus 로고    scopus 로고
    • Atomic-scalemodeling of double-gate MOSFETs using a tight-binding Green's function formalism
    • M. Bescond, J. L.Autran et al., Atomic-scalemodeling of double-gate MOSFETs using a tight-binding Green's function formalism, Solid-State Electron. 48, 567-574 (2004).
    • (2004) Solid-State Electron , vol.48 , pp. 567-574
    • Bescond, M.1    Autran, J.L.2
  • 446
    • 84882011093 scopus 로고    scopus 로고
    • The scaling limit of MOSFETs due to direct sourcedrain tunneling
    • S. Oda and D. Ferry (eds.), Taylor & Francis
    • H. Kawaura, The scaling limit of MOSFETs due to direct sourcedrain tunneling, in: S. Oda and D. Ferry (eds.), Silicon nanoelectronics, Taylor & Francis, (2006).
    • (2006) Silicon nanoelectronics
    • Kawaura, H.1
  • 447
    • 0027813761 scopus 로고
    • Three-dimensional "atomistic" simulation of discrete random distribution effects in sub-0.1μm MOSFET's
    • H.-S. Wong and Y. Taur, Three-dimensional "atomistic" simulation of discrete random distribution effects in sub-0.1μm MOSFET's, Tech. Dig. IEDM, 705-708 (1993).
    • (1993) Tech. Dig. IEDM , pp. 705-708
    • Wong, H.-S.1    Taur, Y.2
  • 448
    • 0032320827 scopus 로고    scopus 로고
    • Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 μm MOSFETs: A 3-D atomistic study
    • A. Asenov, Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 μm MOSFETs: A 3-D atomistic study, IEEE Trans. Electron Dev. 45 2505-2513 (1998).
    • (1998) IEEE Trans. Electron Dev , vol.45 , pp. 2505-2513
    • Asenov, A.1
  • 449
    • 0036508274 scopus 로고    scopus 로고
    • Power-constraint CMOS scaling limits
    • D. J. Frank, Power-constraint CMOS scaling limits, IBM J. Res. & Dev., 46, 235-244 (2002).
    • (2002) IBM J. Res. & Dev. , vol.46 , pp. 235-244
    • Frank, D.J.1
  • 450
    • 34547369064 scopus 로고    scopus 로고
    • The impact of random dopant aggregation in source and drain on the performance of ballistic DG nano-MOSFETs: A NEGF study
    • A. Martinez, J. R. Barker et al., The impact of random dopant aggregation in source and drain on the performance of ballistic DG nano-MOSFETs: A NEGF study, IEEE Trans. Nanotechnol. 6, 438-445 (2007).
    • (2007) IEEE Trans. Nanotechnol. , vol.6 , pp. 438-445
    • Martinez, A.1    Barker, J.R.2
  • 451
    • 0042532317 scopus 로고    scopus 로고
    • Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness
    • A. Asenov, S. Kaya and A. R. Brown, Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness, IEEE Trans. Electron Dev. 50, 1254-1260 (2003).
    • (2003) IEEE Trans. Electron Dev. , vol.50 , pp. 1254-1260
    • Asenov, A.1    Kaya, S.2    Brown, A.R.3
  • 452
    • 0036247929 scopus 로고    scopus 로고
    • Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness fluctuations
    • A. Asenov, S. Kaya and J. H. Davies, Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness fluctuations, IEEE Trans. Electron Dev. 49, 112-119 (2002).
    • (2002) IEEE Trans. Electron Dev. , vol.49 , pp. 112-119
    • Asenov, A.1    Kaya, S.2    Davies, J.H.3
  • 453
    • 33947265310 scopus 로고    scopus 로고
    • Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs
    • G. Roy, A. R. Brown et al., Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs, IEEE Trans. Electron Dev. 53, 3063-3070 (2006).
    • (2006) IEEE Trans. Electron Dev. , vol.53 , pp. 3063-3070
    • Roy, G.1    Brown, A.R.2
  • 454
    • 0032592096 scopus 로고    scopus 로고
    • Design challenges of technology scaling
    • July-Aug
    • S. Borkar, Design challenges of technology scaling, IEEE Micro. 19, 23-29, (July-Aug. 1999).
    • (1999) IEEE Micro , vol.19 , pp. 23-29
    • Borkar, S.1
  • 455
    • 0012561327 scopus 로고    scopus 로고
    • Coming challenges in microarchitecture and architecture
    • R. Ronen, A. Mendelson et al., Coming challenges in microarchitecture and architecture, Proc. IEEE 89, 325-340 (2001).
    • (2001) Proc. IEEE , vol.89 , pp. 325-340
    • Ronen, R.1    Mendelson, A.2
  • 456
    • 0035054933 scopus 로고    scopus 로고
    • Microprocessors for the new millenium: Challenges, opportunities, and new frontiers
    • paper 1.3
    • P. Gelsinger, Microprocessors for the new millenium: Challenges, opportunities, and new frontiers, Dig. ISSCC, paper 1.3 (2001).
    • (2001) Dig. ISSCC
    • Gelsinger, P.1
  • 457
    • 0036923408 scopus 로고    scopus 로고
    • Power-constrained device and technology design for the end of scaling
    • D. J. Frank, Power-constrained device and technology design for the end of scaling, Tech. Dig. IEDM, 643-645 (2002).
    • (2002) Tech. Dig. IEDM , pp. 643-645
    • Frank, D.J.1
  • 458
    • 0000043961 scopus 로고    scopus 로고
    • Interconnect scaling - the real limiter to high performance ULSI
    • Sept
    • M. T. Bohr, Interconnect scaling - the real limiter to high performance ULSI, Solid State Technol. 39, 105-111 (Sept.1996).
    • (1996) Solid State Technol , vol.39 , pp. 105-111
    • Bohr, M.T.1
  • 459
    • 2442653656 scopus 로고    scopus 로고
    • Interconnect limits on gigascale integration (GSI) in the 21st century
    • J. A. Davis, R. Venkatesan et al., Interconnect limits on gigascale integration (GSI) in the 21st century, Proc. IEEE 89, 305-324 (2001).
    • (2001) Proc. IEEE , vol.89 , pp. 305-324
    • Davis, J.A.1    Venkatesan, R.2
  • 460
    • 0036508438 scopus 로고    scopus 로고
    • Interconnect opportunities for gigascale integration
    • J. D. Meindl, J. A. Davis et al., Interconnect opportunities for gigascale integration, IBM J. Res. & Dev. 46, 245-263 (2002).
    • (2002) IBM J. Res. & Dev. , vol.46 , pp. 245-263
    • Meindl, J.D.1    Davis, J.A.2
  • 461
    • 84882037120 scopus 로고    scopus 로고
    • The International Technology Roadmap for Semiconductors ITRS, 1999-2007 Editions, Semiconductor Industry Association
    • The International Technology Roadmap for Semiconductors ITRS, 1999-2007 Editions, Semiconductor Industry Association. See also at http://www.itrs.net/reports.html.
  • 462
    • 84882014093 scopus 로고    scopus 로고
    • Edition
    • The National Technology Roadmap for Semiconductors NTRS
    • The National Technology Roadmap for Semiconductors NTRS, 1997 Edition, Semiconductor Industry Association
    • (1997) Semiconductor Industry Association
  • 463
    • 9444270278 scopus 로고    scopus 로고
    • Silicon quantum integrated circuits
    • S. Luryi, J. Xu and A. Zaslavsky (eds.), J. Wiley & Sons
    • D. J. Paul, B. Coonan et al., Silicon quantum integrated circuits, in: S. Luryi, J. Xu and A. Zaslavsky (eds.), Future Trends inMicroelectronics, J. Wiley & Sons (1999).
    • (1999) Future Trends inMicroelectronics
    • Paul, D.J.1    Coonan, B.2
  • 464
    • 0033116184 scopus 로고    scopus 로고
    • Single-electron devices and their applications
    • K. K. Likharev, Single-electron devices and their applications, Proc. IEEE 87, 606-632 (1999).
    • (1999) Proc. IEEE , vol.87 , pp. 606-632
    • Likharev, K.K.1
  • 465
    • 0034588609 scopus 로고    scopus 로고
    • Superconducting ICs: The 100 GHz second generation
    • December
    • K. Brock, K. Track and M. Rowell, Superconducting ICs: The 100 GHz second generation, IEEE Spectrum 37, 40-46 (December 2000).
    • (2000) IEEE Spectrum , vol.37 , pp. 40-46
    • Brock, K.1    Track, K.2    Rowell, M.3
  • 466
    • 0005865890 scopus 로고    scopus 로고
    • FLUX-1: Designing the first generation of 20-GHz superconductor RSFQ microprocessors in 1.75-μm technology
    • S. Luryi, J. Xu and A. Zaslavsky (eds.), J.Wiley & Sons
    • M. Dorojevets, FLUX-1: Designing the first generation of 20-GHz superconductor RSFQ microprocessors in 1.75-μm technology, in: S. Luryi, J. Xu and A. Zaslavsky (eds.), Future Trends in Microelectronics - The Nano Millenium, J.Wiley & Sons (2002).
    • (2002) Future Trends in Microelectronics - The Nano Millenium
    • Dorojevets, M.1
  • 467
    • 0000115540 scopus 로고    scopus 로고
    • Dynamics of quantum cellular automata
    • C. S. Lent and P. D. Tougaw, Dynamics of quantum cellular automata, J. Appl. Phys. 80, 4722-4736 (1996).
    • (1996) J. Appl. Phys. , vol.80 , pp. 4722-4736
    • Lent, C.S.1    Tougaw, P.D.2
  • 468
    • 0034712032 scopus 로고    scopus 로고
    • Room temperature magnetic quantum cellular automata
    • R. P. Cowburn and M. E. Welland, Room temperature magnetic quantum cellular automata, Science 287, 1466-1468 (2000).
    • (2000) Science , vol.287 , pp. 1466-1468
    • Cowburn, R.P.1    Welland, M.E.2
  • 470
    • 39549093276 scopus 로고    scopus 로고
    • Carbon nanotubes for high-performance electronics
    • J. Appenzeller, Carbon nanotubes for high-performance electronics, Proc. IEEE 96, 201-211 (2008).
    • (2008) Proc. IEEE , vol.96 , pp. 201-211
    • Appenzeller, J.1
  • 471
    • 0033115647 scopus 로고    scopus 로고
    • Molecular-scale electronics
    • M. A. Reed, Molecular-scale electronics, Proc. IEEE 87, 652-658 (1999).
    • (1999) Proc. IEEE , vol.87 , pp. 652-658
    • Reed, M.A.1
  • 474
    • 36148984654 scopus 로고    scopus 로고
    • CMOS inverter based on gate-allaround silicon-nanowire MOSFETs fabricated using top-down approach
    • S. C. Rustagi, N. Singh et al., CMOS inverter based on gate-allaround silicon-nanowire MOSFETs fabricated using top-down approach, IEEE Electron Device Lett. 28, 1021-1024 (2007).
    • (2007) IEEE Electron Device Lett , vol.28 , pp. 1021-1024
    • Rustagi, S.C.1    Singh, N.2
  • 475
    • 40049093097 scopus 로고    scopus 로고
    • Chemically derived, ultrasmooth graphene nanoribbon semicounductors
    • X.Li, X. Zhang et al., Chemically derived, ultrasmooth graphene nanoribbon semicounductors, Science 319, 1229-1232 (2008).
    • (2008) Science , vol.319 , pp. 1229-1232
    • Li, X.1    Zhang, X.2
  • 476
    • 36549081349 scopus 로고    scopus 로고
    • Enhancement-mode GaAs MOSFETs with an In0.3Ga0.7As channel, a mobility of over 5000 cm2/V and transconductance of over 475 μS/μm
    • R. J. W. Hill, D. A. J. Moran et al., Enhancement-mode GaAs MOSFETs with an In0.3Ga0.7As channel, a mobility of over 5000 cm2/V and transconductance of over 475 μS/μm, IEEE Electron Device Lett. 28, 1080-1082 (2007).
    • (2007) IEEE Electron Device Lett , vol.28 , pp. 1080-1082
    • Hill, R.J.W.1    Moran, D.A.J.2
  • 477
    • 46149119210 scopus 로고    scopus 로고
    • High performance Ge pMOS devices using a Si-compatible process flow
    • paper 26.1
    • P. Zimmermann, G. Nicholas et al., High performance Ge pMOS devices using a Si-compatible process flow, Tech. Dig. IEDM, paper 26.1 (2006).
    • (2006) Tech. Dig. IEDM
    • Zimmermann, P.1    Nicholas, G.2
  • 478
    • 33744788417 scopus 로고    scopus 로고
    • Towards molecular electronics with large-areamolecular junctions
    • H. B. Akkerman, P. W. M. Bloom et al., Towards molecular electronics with large-areamolecular junctions, Nature 441, 69-72 (2006).
    • (2006) Nature , vol.441 , pp. 69-72
    • Akkerman, H.B.1    Bloom, P.W.M.2
  • 479
    • 0037258011 scopus 로고    scopus 로고
    • Wide band gap ferromagnetic semiconductors and oxides
    • S. J. Pearton, C. R. Abernathy et al., Wide band gap ferromagnetic semiconductors and oxides, J. Appl. Phys. 93, 1-13 (2003).
    • (2003) J. Appl. Phys. , vol.93 , pp. 1-13
    • Pearton, S.J.1    Abernathy, C.R.2
  • 480
    • 24644506125 scopus 로고    scopus 로고
    • Magnetic domain-wall logic
    • D. A. Allwood, D. Xiong et al., Magnetic domain-wall logic, Science 309, 1688-1692 (2005).
    • (2005) Science , vol.309 , pp. 1688-1692
    • Allwood, D.A.1    Xiong, D.2
  • 482
    • 84905817313 scopus 로고    scopus 로고
    • Special Issue on Spintronics
    • May
    • Special Issue on Spintronics, IEEE Trans. Electron Dev. 54, (May 2007).
    • (2007) IEEE., Trans., Electron, Dev. , vol.54
  • 483
    • 50249171228 scopus 로고    scopus 로고
    • On the performance limit of impact-ionization transistors
    • C. Shen, J.-J. Lin et al., On the performance limit of impact-ionization transistors, Tech. Dig. IEDM, 117-120 (2007).
    • (2007) Tech. Dig. IEDM , pp. 117-120
    • Shen, C.1    Lin, J.-J.2
  • 484
    • 12344320429 scopus 로고    scopus 로고
    • Impact ionization MOS (I-MOS) - Part I: Device and circuit simulations
    • K. Gopalakrishnan, P. B. Griffin et al., Impact ionization MOS (I-MOS) - Part I: Device and circuit simulations, IEEE Trans. Electron Dev. 52, 69-76 (2005).
    • (2005) IEEE Trans. Electron Dev. , vol.52 , pp. 69-76
    • Gopalakrishnan, K.1    Griffin, P.B.2
  • 485
    • 12344288472 scopus 로고    scopus 로고
    • Impact ionization MOS (I-MOS) - Part II: Experimental results
    • K. Gopalakrishnan, R. Woo et al., Impact ionization MOS (I-MOS) - Part II: Experimental results, IEEE Trans. Electron Dev. 52, 77-84 (2005).
    • (2005) IEEE Trans. Electron Dev. , vol.52 , pp. 77-84
    • Gopalakrishnan, K.1    Woo, R.2
  • 486
    • 50249113248 scopus 로고    scopus 로고
    • Design considerations for complementary nanoelectromechanical logic gates
    • K. Akarvardar, D. Elata et al., Design considerations for complementary nanoelectromechanical logic gates, Tech. Dig. IEDM, 299-302 (2007).
    • (2007) Tech. Dig. IEDM , pp. 299-302
    • Akarvardar, K.1    Elata, D.2
  • 487
    • 42549105470 scopus 로고    scopus 로고
    • Design and fabrication of MEMS logic gates
    • paper 045001
    • C. Y. Tsai, W. T. Kuo et al., Design and fabrication of MEMS logic gates, J. Micromech. Microeng. 18, paper 045001 (2008).
    • (2008) J. Micromech. Microeng. , vol.18
    • Tsai, C.Y.1    Kuo, W.T.2
  • 488
    • 84881999368 scopus 로고    scopus 로고
    • http://www.itrs.net/Links/2007Winter/2007 Winter Presentations/ 06 ERD 2007 JP.pdf.
  • 489
    • 26944493855 scopus 로고    scopus 로고
    • A sound barrier for silicon?
    • D. A.Muller, A sound barrier for silicon? NatureMaterials 4, 645-647 (2005).
    • (2005) NatureMaterials , vol.4 , pp. 645-647
    • Muller, D.A.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.