메뉴 건너뛰기




Volumn 89, Issue 3, 2001, Pages 325-339

Coming challenges in microarchitecuture and architecture architecture

Author keywords

Design tradeoffs; Microarchitecture; Microarchitecture trends; Microprocessor; Performance improvements; Power issues; Technology scaling

Indexed keywords


EID: 0012561327     PISSN: 00189219     EISSN: None     Source Type: Journal    
DOI: 10.1109/5.915377     Document Type: Article
Times cited : (92)

References (69)
  • 1
    • 33646917820 scopus 로고    scopus 로고
    • SPEC, Standard Performance Evaluation Corporation. [Online] [2] BAFCo. SYSmark® for Windows NT ver. 4.O. [Online]. Available: http://www.bapco.com/nt4-0.htm
    • SPEC, Standard Performance Evaluation Corporation. [Online]. Available: http://www.spec.org/ [2] BAFCo. SYSmark® for Windows NT ver. 4.O. [Online]. Available: http://www.bapco.com/nt4-0.htm
  • 2
    • 33644950223 scopus 로고    scopus 로고
    • A high performance 180nm generation logic technology
    • Dec.
    • S. Yang et til, "A high performance 180nm generation logic technology," in Proc. JEDM, Dec. 1998, pp. 197-200.
    • (1998) Proc. JEDM , pp. 197-200
    • Yang, S.1
  • 3
    • 0032592096 scopus 로고    scopus 로고
    • Design challenges of technology scaling
    • July-Aug.
    • S. Borkar, "Design challenges of technology scaling," IEEE Micro, vol. 19, pp. 23-29, July-Aug. 1999.
    • (1999) IEEE Micro , vol.19 , pp. 23-29
    • Borkar, S.1
  • 4
    • 0031235595 scopus 로고    scopus 로고
    • One billion transistors, one uniprocessor, one chip
    • Sept.
    • [51 Y. N. Pall, S. J. Patcl, M. Evers, D. H. Friendly, and J. Stark, "One billion transistors, one uniprocessor, one chip," IEEE Computer, vol. 30, pp. 51-57, Sept. 1997.
    • (1997) IEEE Computer , vol.30 , pp. 51-57
    • Pall, Y.N.1    Patcl, S.J.2    Evers, M.3    Friendly, D.H.4    Stark, J.5
  • 5
    • 0030126384 scopus 로고    scopus 로고
    • Tuning the Pentium Pro microarchitecture
    • Apr.
    • D. B. Papworth, "Tuning the Pentium Pro microarchitecture," IEEE Micro, vol. 16, pp. 8-15, Apr. 1996.
    • (1996) IEEE Micro , vol.16 , pp. 8-15
    • Papworth, D.B.1
  • 6
    • 0032592098 scopus 로고    scopus 로고
    • Deep-submicron microprocessor design issues
    • Julj-Aug.
    • M. Flynn, P. Hung, and K. W. Ruiid, "Deep-submicron microprocessor design issues." IEEE Micro, vol. 19, pp. 11-22, Julj-Aug. 1999.
    • (1999) IEEE Micro , vol.19 , pp. 11-22
    • Flynn, M.1    Hung, P.2    Ruiid, K.W.3
  • 7
    • 0020177251 scopus 로고
    • Cache memories
    • Sept.
    • A. J. Smith, "Cache memories," Computing Surveys, vol. 14, no. 3, pp. 473-530, Sept. 1982.
    • (1982) Computing Surveys , vol.14 , Issue.3 , pp. 473-530
    • Smith, A.J.1
  • 9
    • 0028134552 scopus 로고
    • Branch history table indexing to prevent pipeline bubbles in wide-issue superscalar processors
    • Dec.
    • T.-Y. Yeh and Y. N. Patt, "Branch history table indexing to prevent pipeline bubbles in wide-issue superscalar processors," in Proc. 26th Anna. int. Symp. Microarchitecture, Dec. I993, pp. 164-175.
    • (1993) Proc. 26th Anna. Int. Symp. Microarchitecture , pp. 164-175
    • Yeh, T.-Y.1    Patt, Y.N.2
  • 10
    • 0003506711 scopus 로고
    • Compaq/Western Research Laboratories, Tech. Note TN-36, June
    • S. McFarling, "Combining branch predictors," Compaq/Western Research Laboratories, Tech. Note TN-36, June 1993.
    • (1993) Combining Branch Predictors
    • McFarling, S.1
  • 12
    • 33646916073 scopus 로고
    • Speed kills? Not for RISC processors
    • Mar. 8
    • L. Owennap, "Speed kills? Not for RISC processors," Microprocessor Rep., vol. 7, Mar. 8, 1993.
    • (1993) Microprocessor Rep. , vol.7
    • Owennap, L.1
  • 13
    • 33646906902 scopus 로고    scopus 로고
    • Brainiacs, speed demons, and farewell
    • Dec. 27
    • "Brainiacs, speed demons, and farewell," Microprocessor Rep., vol. 13, Dec. 27, 1999.
    • (1999) Microprocessor Rep. , vol.13
  • 14
    • 0032071753 scopus 로고    scopus 로고
    • High-performance microprocessor design
    • May
    • [15 ] P. E. Gronowski et ai, "High-performance microprocessor design," IEEEJ. Soliâ-State Circuits, vol. 33, pp. 676-686, May 1998.
    • (1998) IEEEJ. Soliâ-State Circuits , vol.33 , pp. 676-686
    • Gronowski, P.E.1
  • 15
    • 0020632876 scopus 로고
    • Very long instruction word architectures and ELI-512
    • June
    • J. A. Fisher, "Very long instruction word architectures and ELI-512," in Proc. I Oth Symp. Computer Architecture,June 1983, pp. 140-150.
    • (1983) Proc. i Oth Symp. Computer Architecture , pp. 140-150
    • Fisher, J.A.1
  • 16
    • 0033892359 scopus 로고    scopus 로고
    • EPIC: Explicitly parallel instruction computing
    • M. S. Schlansker and B. R. Rau, "EPIC: Explicitly parallel instruction computing," Computer, vol. 33, no. 2, pp. 37-45, Feb. 2000.
    • (2000) Computer , vol.33 , Issue.2 , pp. 37
    • Schlansker, M.S.1    Rau, B.R.2
  • 17
    • 0027886468 scopus 로고
    • Design of the Intel Pentium processor
    • Oct.
    • A. Saini, "Design of the Intel Pentium processor," in Proc. ICCD, Oct. 1993, pp. 258-261.
    • (1993) Proc. ICCD , pp. 258-261
    • Saini, A.1
  • 20
    • 0003081830 scopus 로고
    • An efficient algorithm fur exploiting multiple arithmetic units
    • Jan.
    • R. M. Tomasulo, ''An efficient algorithm fur exploiting multiple arithmetic units," IBM J. Res. Dev., vol. 11, pp. 25-33, Jan. 1967.
    • (1967) IBM J. Res. Dev. , vol.11 , pp. 25-33
    • Tomasulo, R.M.1
  • 21
    • 0003886621 scopus 로고
    • Western Research Laboratory, Digital Equipment, Corp., Res. Rep. 93/6
    • D. W. Wall, "Limits of instruction-level parallelism," Western Research Laboratory, Digital Equipment, Corp., Res. Rep. 93/6, 1993.
    • (1993) Limits of Instruction-level Parallelism
    • Wall, D.W.1
  • 23
    • 33646938791 scopus 로고    scopus 로고
    • A 600 MHz IA-32 microprocessor with enhanced data streaming for graphics and video
    • S. Fischer ei J.. " Feb.
    • S. Fischer ei ;J.. " A 600 MHz IA-32 microprocessor with enhanced data streaming for graphics and video," in IEEE Int. Solid-State Circuits Conf., Feb. 1999. pp. 98-101.
    • (1999) IEEE Int. Solid-State Circuits Conf. , pp. 98-101
  • 24
    • 0032639289 scopus 로고    scopus 로고
    • The Alpha 21 264 microprocessor
    • Man/Apr.
    • R. E. Kassier, "The Alpha 21 264 microprocessor," IEEE Micro, vol. 19, pp. 24-36, Man/Apr. 1999.
    • (1999) IEEE Micro , vol.19 , pp. 24-36
    • Kassier, R.E.1
  • 25
    • 0025429331 scopus 로고
    • Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers
    • May
    • N. P. Jouppi, "Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers," in Proc. Int. Symp. Computer Architecture, May 1990, pp. 364-373.
    • (1990) Proc. Int. Symp. Computer Architecture , pp. 364-373
    • Jouppi, N.P.1
  • 26
    • 0032633255 scopus 로고    scopus 로고
    • AMD 3DNow! technology: Architecture and implementations
    • Mar.-Apr.
    • S. Oberman et al., "AMD 3DNow! technology: Architecture and implementations,'' IEEE Micro, voi. 19, pp. 37-18, Mar.-Apr. 1999.
    • (1999) IEEE Micro , vol.19 , pp. 37
    • Oberman, S.1
  • 27
    • 0032647791 scopus 로고    scopus 로고
    • Performance of image and video processing with general-purpose processors and media ISA extensions
    • May
    • P. Ranganathan et ai., "Performance of image and video processing with general-purpose processors and media ISA extensions," in Proc. 26lh Int. Symp. Computer Architecture, May 1999, pp. 124-135.
    • (1999) Proc. 26lh Int. Symp. Computer Architecture , pp. 124-135
    • Ranganathan, P.1
  • 28
    • 0008602329 scopus 로고    scopus 로고
    • AltiVec: Bringing vector technology to the PowerPC processor family
    • Feb.
    • J. Tyler et al, "AltiVec: Bringing vector technology to the PowerPC processor family." in IEEE Int. Conf. Performance, Computing Communications, Feb. 1999, pp. 437-444.
    • (1999) IEEE Int. Conf. Performance, Computing Communications , pp. 437-444
    • Tyler, J.1
  • 30
    • 0029514936 scopus 로고
    • Disjoint eager execution: An optimal form of speculative execution
    • Nov.,'Dec.
    • A. K. Uht, V. Sindagi, and K. Hall, "Disjoint eager execution: An optimal form of speculative execution," in Proc. 28th Annu. int. Symp. Microarchitecture, Nov.,'Dec. 1995, pp. 315-325.
    • (1995) Proc. 28th Annu. Int. Symp. Microarchitecture , pp. 315-325
    • Uht, A.K.1    Sindagi, V.2    Hall, K.3
  • 32
    • 33646911728 scopus 로고
    • Dynamic flow instruction cache memory organized around trace segments independent of virtual address line, U.S. Patent 5 381533
    • A. Peleg and U. Weiser, "Dynamic flow instruction cache memory organized around trace segments independent of virtual address line," U.S. Patent 5 381533, 1994.
    • (1994)
    • Peleg, A.1    Weiser, U.2
  • 36
    • 0032312214 scopus 로고    scopus 로고
    • Putting the fill unit to work: Dynamic optimizations for trace cache microprocessors
    • Nov./Dec.
    • D. H. Friendly, S. J. Patei, and Y. N. Pan, "Putting the fill unit to work: Dynamic optimizations for trace cache microprocessors," in Proc. 31stAnnu. Int. Symp. Microarchilecture, Nov./Dec. 1998, pp. 173-181.
    • (1998) Proc. 31stAnnu. Int. Symp. Microarchilecture , pp. 173-181
    • Friendly, D.H.1    Patei, S.J.2    Pan, Y.N.3
  • 39
    • 0032132091 scopus 로고    scopus 로고
    • Using value prediction to increase the power of speculative execution hardware
    • Aug.
    • F. Gabbay and A. Mendelson, "Using value prediction to increase the power of speculative execution hardware," ACM Trans. Comput. Sp-J., vol. 16, no. 3, pp. 234-270, Aug. 1998.
    • (1998) ACM Trans. Comput. Sp-J. , vol.16 , Issue.3 , pp. 234-270
    • Gabbay, F.1    Mendelson, A.2
  • 45
    • 0031364381 scopus 로고    scopus 로고
    • Streamlining irner-operation memory communication via data dependence prediction
    • Dec.
    • [461 A. Moshovos and G. S. Sohi, "Streamlining irner-operation memory communication via data dependence prediction,' in Proc. 30th Annu. Int. Symp. MicroarckitecJitre, Dec. 1997, pp. 235-245.
    • (1997) Proc. 30th Annu. Int. Symp. MicroarckitecJitre , pp. 235-245
    • Moshovos, A.1    Sohi, G.S.2
  • 46
    • 0032315402 scopus 로고    scopus 로고
    • A novel renaming scheme to exploit value temporal locality through ptnsical register reuse and unification
    • Nov.
    • S. j'ourd'an, R. Ronen. M. Bekerman, B. Shomar, and A. Yoaz, "A novel renaming scheme to exploit value temporal locality through ptnsical register reuse and unification," in Proc. 3is! Anna. Int. Svinp. Microurchitedare. Nov. 1998, pp. 216-225.
    • (1998) Proc. 3is! Anna. Int. Svinp. Microurchitedare , pp. 216-225
    • Jourdan, S.1    Ronen, R.2    Bekerman, M.3    Shomar, B.4    Yoaz, A.5
  • 48
    • 33646929561 scopus 로고    scopus 로고
    • MLP yes! iLP no
    • San Jose. CA, Oct.
    • A, Glew. "MLP yes! iLP no," in Proc. ASPLOS. San Jose. CA, Oct. 1998.
    • (1998) Proc. ASPLOS
    • Glew, A.1
  • 49
    • 0029308368 scopus 로고
    • Effective hardware based data prefeiching for high-performance processors
    • May
    • T.-F. Chen and J.L. Baer. "Effective hardware based data prefeiching for high-performance processors," IEEE 'Irans. Computers, vol. 44, pp. 609-623. May 1995.
    • (1995) IEEE 'Irans. Computers , vol.44 , pp. 609-623
    • Chen, T.-F.1    Baer, J.L.2
  • 50
    • 33646906527 scopus 로고    scopus 로고
    • DRAM-Page Based Prediction and Prefetching, G. Kedem and H. Yu. [Online]
    • f51] DRAM-Page Based Prediction and Prefetching, G. Kedem and H. Yu. [Online]. Available: http://kedern.cs. duke. eou/HPMA/Prelelchi rig/index, html
  • 54
    • 33646905155 scopus 로고    scopus 로고
    • Pentium0 III Processor Mobile Module: Mobile Module Connector 2 (MMC-2) Featuring Intel® Spcedstep™ Technology [Online]
    • [551 Pentium0 III Processor Mobile Module: Mobile Module Connector 2 (MMC-2) Featuring Intel® Spcedstep™ Technology [Online]. Available: http://developer.intel.com/design/mobile/datashts/243356.htin
  • 55
    • 0033715530 scopus 로고    scopus 로고
    • Transmeta's magic show [microprocessor chips
    • May
    • [561 L. Geppert and T. S. Perry, "Transmeta's magic show [microprocessor chips)," IEEE Spectrum, vol. 37, pp. 26-33, May 2000.
    • (2000) IEEE Spectrum , vol.37 , pp. 26-33
    • Geppert, L.1    Perry, T.S.2
  • 56
    • 0002105105 scopus 로고    scopus 로고
    • Transmeta breaks X86 lew-power barrier
    • Feb. 14
    • T. R. Halfhill, "Transmeta breaks X86 lew-power barrier," Microprocessor Rep., vol. 14, Feb. 14, 2000.
    • (2000) Microprocessor Rep. , vol.14
    • Halfhill, T.R.1
  • 57
    • 84943173938 scopus 로고
    • Energy-efficient instruction set architecture for CMOS microprocessors
    • Vol.. It, Jan.
    • J. Blinda, D. Fussell, and W. C. Athas, "Energy-efficient instruction set architecture for CMOS microprocessors," in Proc. 28th Hawaii Int. Conf. System Sciences, Vol.. It, Jan. 1995. pp. 298-305.
    • (1995) Proc. 28th Hawaii Int. Conf. System Sciences , pp. 298-305
    • Blinda, J.1    Fussell, D.2    Athas, W.C.3
  • 58
    • 0031232922 scopus 로고    scopus 로고
    • Will physical scaiabiliiy sabotage performance gains
    • Sept.
    • [59j D. .Vlatzke, "Will physical scaiabiliiy sabotage performance gains," IEEE Computer, vol. 30, pp. 37-39, Sept. 1997.
    • (1997) IEEE Computer , vol.30 , pp. 37-39
    • Vlatzke, D.1
  • 59
    • 0029547914 scopus 로고
    • Interconnect scaling-the real limiter to high performance ULSI
    • Dec.
    • M. T. Bohr, "Interconnect scaling-the real limiter to high performance ULSI," in Proc. Int. Electron Delict's Meeting. Dec. 1995, pp. 241-244.
    • (1995) Proc. Int. Electron Delict's Meeting , pp. 241-244
    • Bohr, M.T.1
  • 62
    • 0030166337 scopus 로고    scopus 로고
    • Soft errors induced by alpha panicles
    • June
    • L. Laniz II, "Soft errors induced by alpha panicles," IEEE Trans. Re!., vol. 45, pp. 174-179, June 1996.
    • (1996) IEEE Trans. Re , vol.45 , pp. 174-179
    • Laniz II, L.1
  • 64
    • 0024913502 scopus 로고
    • A study of time-redundant fault tolerance techniques for high-performance pipelined computers
    • June
    • G. S. Sohi, M. Franklin, and K. K. Saluja, "A study of time-redundant fault tolerance techniques for high-performance pipelined computers," in Proc. FTCS, June 1989, pp. 436-443.
    • (1989) Proc. FTCS , pp. 436-443
    • Sohi, G.S.1    Franklin, M.2    Saluja, K.K.3
  • 65
    • 0032597692 scopus 로고    scopus 로고
    • AR-SMT: A microarehitectural approach lo fault tolerance in microprocessors
    • [66j E. Rotenberg, "AR-SMT: A microarehitectural approach lo fault tolerance in microprocessors," in Proc. FTCS, 1999. pp. 84-91.
    • (1999) Proc. FTCS , pp. 84-91
    • Rotenberg, E.1
  • 66
    • 0033321638 scopus 로고    scopus 로고
    • DIVA: A reliable substrate for deep submicror, rmcroarchitecture design
    • Nov.
    • [67 j T. M. Austin, "DIVA: A reliable substrate for deep submicror, rmcroarchitecture design," m Proc. 32ndAnnu. In!. Svmp. Microc.rcklte.cw.rc, Nov. 1999, pp. 196-207.
    • (1999) Proc. 32ndAnnu. In!. Svmp. Microc.rcklte.cw.rc , pp. 196-207
    • Austin, T.M.1
  • 67
    • 0031199614 scopus 로고    scopus 로고
    • Convening thread-level parallelism to instruction-level parallelism via simultaneous multithreading
    • Aug.
    • J. L. Lo. J. S. Emer, H. M. Levy, R. L. Stamm, D. M. Tulisen, and S. J. Eggers, "Convening thread-level parallelism to instruction-level parallelism via simultaneous multithreading, "ACM Trans. Comput. Syst., vol. 15, pp. 322-354, Aug. 1997.
    • (1997) ACM Trans. Comput. Syst. , vol.15 , pp. 322-354
    • Lo, J.L.1    Emer, J.S.2    Levy, H.M.3    Stamm, R.L.4    Tulisen, D.M.5    Eggers, S.J.6
  • 68
    • 0001948133 scopus 로고    scopus 로고
    • Powert focuses on memory bandwidth
    • Oct. 6, i999.
    • [691 K. DiefendoriT. "Powert focuses on memory bandwidth." Microprocessor Rep., vol. 13, Oct. 6, i999.
    • Microprocessor Rep. , vol.13
    • Diefendorit, K.1
  • 69
    • 33646897100 scopus 로고    scopus 로고
    • National unveils appliance on a chip
    • Aug. 2
    • [701 T. R. Haifhill, "National unveils appliance on a chip," Microprocessor Rep., vol. 13, Aug. 2, 1999.
    • (1999) Microprocessor Rep. , vol.13
    • Haifhill, T.R.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.