메뉴 건너뛰기




Volumn 54, Issue 5, 2011, Pages 959-979

Next-generation lithography for 22 and 16 nm technology nodes and beyond

Author keywords

IPL; Lithographic; NGL; NIL; XPL

Indexed keywords


EID: 79955861647     PISSN: 1674733X     EISSN: None     Source Type: Journal    
DOI: 10.1007/s11432-011-4227-6     Document Type: Review
Times cited : (10)

References (159)
  • 1
    • 0000298272 scopus 로고
    • Soft-X-ray projection lithography using an X-ray reduction camera
    • Hawryluk A M, Seppala L G. Soft-X-ray projection lithography using an X-ray reduction camera. J Vac Sci Tech B, 1988, 6: 2162-2166
    • (1988) J Vac Sci Tech B , vol.6 , pp. 2162-2166
    • Hawryluk, A.M.1    Seppala, L.G.2
  • 2
    • 0001739418 scopus 로고
    • Soft-X-ray reduction lithography using multilayer mirrors
    • Kinoshita H, Kuirhara K, Ishii Y, et al. Soft-X-ray reduction lithography using multilayer mirrors. J Vac Sci Tech B, 1989, 7: 1648-1651
    • (1989) J Vac Sci Tech B , vol.7 , pp. 1648-1651
    • Kinoshita, H.1    Kuirhara, K.2    Ishii, Y.3
  • 3
    • 0001246252 scopus 로고
    • Reduction imaging at 14 nm using multilayer-coated optics-printing of features smaller than 0.1-mu-m
    • Bjorkholm J E, Bokor J, Eichner L, et al. Reduction imaging at 14 nm using multilayer-coated optics-printing of features smaller than 0.1-mu-m. J Vac Sci Tech B, 1990, 8: 1509-1513
    • (1990) J Vac Sci Tech B , vol.8 , pp. 1509-1513
    • Bjorkholm, J.E.1    Bokor, J.2    Eichner, L.3
  • 5
    • 63649110675 scopus 로고    scopus 로고
    • Bellingham, WA: SPIE Press
    • Bakshi V. EUV Lithography. Bellingham, WA: SPIE Press, 2008
    • (2008) EUV Lithography
    • Bakshi, V.1
  • 6
    • 37149024790 scopus 로고    scopus 로고
    • Extreme ultraviolet lithography: A review
    • Wu B, Kumar A. Extreme ultraviolet lithography: A review. J Vac Sci Tech B, 2007, 25: 1743-1761
    • (2007) J Vac Sci Tech B , vol.25 , pp. 1743-1761
    • Wu, B.1    Kumar, A.2
  • 7
    • 79955855843 scopus 로고    scopus 로고
    • Extreme ultraviolet lithography: Towards the next generation of integrated circuits
    • Wu B, Kumar A. Extreme ultraviolet lithography: towards the next generation of integrated circuits. Opt Photon Focus, 2009, 7: 4
    • (2009) Opt Photon Focus , vol.7 , pp. 4
    • Wu, B.1    Kumar, A.2
  • 8
    • 0034763264 scopus 로고    scopus 로고
    • Insertion of EUVL into high-volume manufacturing
    • In
    • Silverman P. Insertion of EUVL into high-volume manufacturing. In: Proc. SPIE 4343, 2001. 12-18
    • (2001) Proc. SPIE 4343 , pp. 12-18
    • Silverman, P.1
  • 9
    • 0344440777 scopus 로고    scopus 로고
    • System integration and performance of the EUV engeering test stand
    • In
    • Tichenor D, Ray-Chaudhuri A K, Replogle W C, et al. System integration and performance of the EUV engeering test stand. In: Proc. SPIE 4343, 2001. 19-37
    • (2001) Proc. SPIE 4343 , pp. 19-37
    • Tichenor, D.1    Ray-Chaudhuri, A.K.2    Replogle, W.C.3
  • 11
    • 0000348572 scopus 로고    scopus 로고
    • First lithographic results from the extreme ultraviolet engineering test stand
    • Chapman H N, Ray-Chaudhuri A K, Tichenor D A, et al. First lithographic results from the extreme ultraviolet engineering test stand. J Vac Sci Tech B, 2001, 19: 2389-2395
    • (2001) J Vac Sci Tech B , vol.19 , pp. 2389-2395
    • Chapman, H.N.1    Ray-Chaudhuri, A.K.2    Tichenor, D.A.3
  • 14
    • 33745628745 scopus 로고    scopus 로고
    • First performance result of the ASML alpha demo tool
    • In
    • Meining H, Meijer H, Banine V, et al. First performance result of the ASML alpha demo tool. In: Proc. SPIE 6151, 2006. 615108
    • (2006) Proc. SPIE 6151 , pp. 615108
    • Meining, H.1    Meijer, H.2    Banine, V.3
  • 15
  • 16
    • 33745612430 scopus 로고    scopus 로고
    • Nikon EUVL development progress summary
    • In
    • Miura T, Murakami K, Suzuki K, et al. Nikon EUVL development progress summary. In: Proc. SPIE 6151, 2006. 615105
    • (2006) Proc. SPIE 6151 , pp. 615105
    • Miura, T.1    Murakami, K.2    Suzuki, K.3
  • 17
    • 3843097074 scopus 로고    scopus 로고
    • High-resolution EUV microstepper tool for resist testing and technology evaluation
    • In
    • Brunton A, Cashmore J, Elbourn P, et al. High-resolution EUV microstepper tool for resist testing and technology evaluation. In: Proc. SPIE 5374, 2004. 869-880
    • (2004) Proc. SPIE 5374 , pp. 869-880
    • Brunton, A.1    Cashmore, J.2    Elbourn, P.3
  • 18
    • 10644267839 scopus 로고    scopus 로고
    • Plasma sources for EUV lithography exposure tools
    • Banine V, Moors R. Plasma sources for EUV lithography exposure tools. J Phys D: Appl Phys, 2004, 37: 3207-3212
    • (2004) J Phys D: Appl Phys , vol.37 , pp. 3207-3212
    • Banine, V.1    Moors, R.2
  • 19
    • 10644279311 scopus 로고    scopus 로고
    • Fundament and limits for the EUV emission of pinch plasma sources for EUV lithography
    • Krucken T, Bergmann K, Juschkin L, et al. Fundament and limits for the EUV emission of pinch plasma sources for EUV lithography. J Phys D: Appl Phys, 2004, 37: 3213-3224
    • (2004) J Phys D: Appl Phys , vol.37 , pp. 3213-3224
    • Krucken, T.1    Bergmann, K.2    Juschkin, L.3
  • 20
    • 10644271906 scopus 로고    scopus 로고
    • UTA versus line emission for EUVL: Study on oxygen emission at the NIST EBTI
    • Fahy K, Kunne P, McKinney L, et al. UTA versus line emission for EUVL: study on oxygen emission at the NIST EBTI. J Phys D: Appl Phys, 2004, 37: 3225-3332
    • (2004) J Phys D: Appl Phys , vol.37 , pp. 3225-3332
    • Fahy, K.1    Kunne, P.2    McKinney, L.3
  • 21
    • 10644277296 scopus 로고    scopus 로고
    • Liquid-jet laser-plasma extreme ultraviolet sources: From droplets to fialments
    • Hansson B A M, Hertz H. Liquid-jet laser-plasma extreme ultraviolet sources: from droplets to fialments. J Phys D: Appl Phys, 2004, 37: 3233-3243
    • (2004) J Phys D: Appl Phys , vol.37 , pp. 3233-3243
    • Hansson, B.A.M.1    Hertz, H.2
  • 22
    • 10644258117 scopus 로고    scopus 로고
    • Extreme ultraviolet light sources for use in semiconductor lithography-state of the art and future developmen
    • Stamm U. Extreme ultraviolet light sources for use in semiconductor lithography-state of the art and future developmen. J Phys D: Appl Phys, 2004, 37: 3244-3253
    • (2004) J Phys D: Appl Phys , vol.37 , pp. 3244-3253
    • Stamm, U.1
  • 24
    • 10644271905 scopus 로고    scopus 로고
    • EUV discharge light source based on a dense plasma focus with positive and negative polarity
    • Fomenko I V, Bowering N, Rettig C L, et al. EUV discharge light source based on a dense plasma focus with positive and negative polarity. J Phys D: Appl Phys, 2004, 37: 3266-3276
    • (2004) J Phys D: Appl Phys , vol.37 , pp. 3266-3276
    • Fomenko, I.V.1    Bowering, N.2    Rettig, C.L.3
  • 25
    • 10644222785 scopus 로고    scopus 로고
    • Pinch plasma EUV source with particle injection
    • McGeoch M W. Pinch plasma EUV source with particle injection. J Phys D: Appl Phys, 2004, 37: 3277-3284
    • (2004) J Phys D: Appl Phys , vol.37 , pp. 3277-3284
    • McGeoch, M.W.1
  • 27
    • 33745621865 scopus 로고    scopus 로고
    • Development of Xe-and Sn-fueled high-power Z-pinch EUV source aiming at HVM
    • In
    • Teramoto Y, Niimi G, Yamatani D, et al. Development of Xe-and Sn-fueled high-power Z-pinch EUV source aiming at HVM. In: Proc. SPIE 6151, 2006. 615147
    • (2006) Proc. SPIE 6151 , pp. 615147
    • Teramoto, Y.1    Niimi, G.2    Yamatani, D.3
  • 28
  • 31
    • 30844462333 scopus 로고    scopus 로고
    • Compact EUV light sources for at-wavelength metrology
    • In
    • Owens S M. Compact EUV light sources for at-wavelength metrology. In: Proc. SPIE 5918, 2005. 591807
    • (2005) Proc. SPIE 5918 , pp. 591807
    • Owens, S.M.1
  • 32
    • 0012996674 scopus 로고    scopus 로고
    • Development of high-power EUV sources for lithography
    • In
    • Borisov V M, Ahmad E, Goetze S, et al. Development of high-power EUV sources for lithography. In: Proc. SPIE 4688, 2002. 626-633
    • (2002) Proc. SPIE 4688 , pp. 626-633
    • Borisov, V.M.1    Ahmad, E.2    Goetze, S.3
  • 33
    • 0036378853 scopus 로고    scopus 로고
    • Optimization of a dense plasma focus device as a light source for EUV lithography
    • In
    • Fomenkov I V, Partlo W N, Ness R M, et al. Optimization of a dense plasma focus device as a light source for EUV lithography. In: Proc. SPIE 4688, 2002. 634-647
    • (2002) Proc. SPIE 4688 , pp. 634-647
    • Fomenkov, I.V.1    Partlo, W.N.2    Ness, R.M.3
  • 34
    • 0036380224 scopus 로고    scopus 로고
    • CAPELLA: A kHz and low-debris capillary discharge EUV source
    • In
    • Robert E, Gonthiez T, Sarroukh O, et al. CAPELLA: a kHz and low-debris capillary discharge EUV source. In: Proc. SPIE 4688, 2002. 672-679
    • (2002) Proc. SPIE 4688 , pp. 672-679
    • Robert, E.1    Gonthiez, T.2    Sarroukh, O.3
  • 35
    • 0141501438 scopus 로고    scopus 로고
    • Physical properties of the HCT EUV source
    • In
    • Pankert J, Bergmann K, Klein J, et al. Physical properties of the HCT EUV source. In: Proc. SPIE 5037, 2003. 112-118
    • (2003) Proc. SPIE 5037 , pp. 112-118
    • Pankert, J.1    Bergmann, K.2    Klein, J.3
  • 36
    • 0141836157 scopus 로고    scopus 로고
    • High-power EUV lithography sources based on gas discharges and laser-produced plasmas
    • In
    • Stamm U, Hama I, Balogh I, et al. High-power EUV lithography sources based on gas discharges and laser-produced plasmas. In: Proc. SPIE 5037, 2003. 119-129
    • (2003) Proc. SPIE 5037 , pp. 119-129
    • Stamm, U.1    Hama, I.2    Balogh, I.3
  • 37
    • 0141724825 scopus 로고    scopus 로고
    • Star pinch scalable EUV source
    • In
    • McGeoch M W, Pike C T. Star pinch scalable EUV source. In: Proc. SPIE 5037, 2003. 141-146
    • (2003) Proc. SPIE 5037 , pp. 141-146
    • McGeoch, M.W.1    Pike, C.T.2
  • 38
    • 0034755489 scopus 로고    scopus 로고
    • Comparison of different source concepts for EUVL
    • In
    • Lebert R, Bergmann K, Juschkin L, et al. Comparison of different source concepts for EUVL. In: Proc. SPIE 4343, 2001. 215-225
    • (2001) Proc. SPIE 4343 , pp. 215-225
    • Lebert, R.1    Bergmann, K.2    Juschkin, L.3
  • 39
    • 24644492299 scopus 로고    scopus 로고
    • EUV sources for EUV lithography in alpha-, beta-, and high volume chip manufacturing: An update on GDPP and LPP technology
    • In
    • Stamm U, Kleinschmidt J, Gabel K, et al. EUV sources for EUV lithography in alpha-, beta-, and high volume chip manufacturing: an update on GDPP and LPP technology. In: Proc. SPIE 5751, 2005. 236-247
    • (2005) Proc. SPIE 5751 , pp. 236-247
    • Stamm, U.1    Kleinschmidt, J.2    Gabel, K.3
  • 40
    • 33745608707 scopus 로고    scopus 로고
    • Development status of EUV sources for use in beta-tools and high-volume chip manufacturing tools
    • In
    • Stamm U, Kleinschmidt J, Bolshukhin D, et al. Development status of EUV sources for use in beta-tools and high-volume chip manufacturing tools. In: Proc. SPIE 6151, 2006. 61510O
    • (2006) Proc. SPIE 6151
    • Stamm, U.1    Kleinschmidt, J.2    Bolshukhin, D.3
  • 41
    • 35148822284 scopus 로고    scopus 로고
    • EUV source development for high-volume chip manufacturing tools
    • In
    • Stamm U, Yoshioka M, Kleinschmidt J, et al. EUV source development for high-volume chip manufacturing tools. In: Proc. SPIE 6517, 2007. 65170P
    • (2007) Proc. SPIE 6517
    • Stamm, U.1    Yoshioka, M.2    Kleinschmidt, J.3
  • 43
    • 79955845944 scopus 로고    scopus 로고
    • Future of high power EUV source
    • In, Maui, Hawaii, USA, EUV Litho, Inc., Austin, TX, USA
    • Wakana K. Future of high power EUV source. In: International Workshop on EUVL, Maui, Hawaii, USA, 2010. EUV Litho, Inc., Austin, TX, USA.
    • (2010) International Workshop on EUVL
    • Wakana, K.1
  • 44
    • 0035766813 scopus 로고    scopus 로고
    • Improved reflectance and stability of Mo/Si multilayers
    • In
    • Bajt S, Alamceda J, Barbee T Jr, et al. Improved reflectance and stability of Mo/Si multilayers. In: Proc. SPIE 4506, 2001. 65-75
    • (2001) Proc. SPIE 4506 , pp. 65-75
    • Bajt, S.1    Alamceda, J.2    Barbee Jr., T.3
  • 45
    • 0035767057 scopus 로고    scopus 로고
    • Bufferlayer and caplayer engineering of Mo/Si EUVL multilayer mirrors
    • In
    • Kleineberg U, Westerwalbesloh T, Wehmeyer O, et al. Bufferlayer and caplayer engineering of Mo/Si EUVL multilayer mirrors. In: Proc. SPIE 4506, 2001. 113-120
    • (2001) Proc. SPIE 4506 , pp. 113-120
    • Kleineberg, U.1    Westerwalbesloh, T.2    Wehmeyer, O.3
  • 46
    • 0032403564 scopus 로고    scopus 로고
    • Materials for reflective multilayer coatings for EUV wavelengths
    • In
    • Smith B W, Venkataraman P, Kurinec S K, et al. Materials for reflective multilayer coatings for EUV wavelengths. In: Proc. SPIE 3331, 1998. 544-554
    • (1998) Proc. SPIE 3331 , pp. 544-554
    • Smith, B.W.1    Venkataraman, P.2    Kurinec, S.K.3
  • 48
    • 29844436350 scopus 로고    scopus 로고
    • Enhanced performance of EUV multilayer coatings
    • In
    • Louis E, Yakshin A E, Zoethout E, et al. Enhanced performance of EUV multilayer coatings. In: Proc. SPIE 5900, 2005. 590002
    • (2005) Proc. SPIE 5900 , pp. 590002
    • Louis, E.1    Yakshin, A.E.2    Zoethout, E.3
  • 49
    • 0035762479 scopus 로고    scopus 로고
    • Stress control of Mo/Si-based multilayer coatings deposited by ion-beam sputtering
    • In
    • Murakami K, Shiraisi M. Stress control of Mo/Si-based multilayer coatings deposited by ion-beam sputtering. In: Proc. SPIE 4506, 2001. 56-64
    • (2001) Proc. SPIE 4506 , pp. 56-64
    • Murakami, K.1    Shiraisi, M.2
  • 50
    • 0033697910 scopus 로고    scopus 로고
    • Stress reduction of molybdenum/silicon multilayers deposited by ion-beam sputtering
    • In
    • Shiraishi M, Ishiyama W, Oshino T, et al. Stress reduction of molybdenum/silicon multilayers deposited by ion-beam sputtering. In: Proc. SPIE 3997, 2000. 620-627
    • (2000) Proc. SPIE 3997 , pp. 620-627
    • Shiraishi, M.1    Ishiyama, W.2    Oshino, T.3
  • 52
    • 0033701342 scopus 로고    scopus 로고
    • Magnetron sputtered EUV mirrors with high-thermal stability
    • In
    • Feigl T, Yulin S, Kaiser N, et al. Magnetron sputtered EUV mirrors with high-thermal stability. In: Proc. SPIE 3997, 2000. 420
    • (2000) Proc. SPIE 3997 , pp. 420
    • Feigl, T.1    Yulin, S.2    Kaiser, N.3
  • 54
    • 34547604850 scopus 로고    scopus 로고
    • Long-term stability of Ru-based protection layers in extreme ultraviolet lithography: A surface science approach
    • Over H, He Y B, Farkas A, et al. Long-term stability of Ru-based protection layers in extreme ultraviolet lithography: A surface science approach. J Vac Sci Technol B, 2007, 25: 1123-1138
    • (2007) J Vac Sci Technol B , vol.25 , pp. 1123-1138
    • Over, H.1    He, Y.B.2    Farkas, A.3
  • 55
    • 2542495970 scopus 로고    scopus 로고
    • Developing a viable multilayer coating process for extreme ultraviolet lithography reticles
    • Mirkarimi P B, Spiller E, Baker S L, et al. Developing a viable multilayer coating process for extreme ultraviolet lithography reticles. J Microlith Microfab Microsyst, 2004, 3: 139
    • (2004) J Microlith Microfab Microsyst , vol.3 , pp. 139
    • Mirkarimi, P.B.1    Spiller, E.2    Baker, S.L.3
  • 56
    • 0036118745 scopus 로고    scopus 로고
    • Practical approach for modeling extreme ultraviolet lithography mask defects
    • Gullikson E M, Cejan C, Stearns D G, et al. Practical approach for modeling extreme ultraviolet lithography mask defects. J Vac Sci Technol B, 2001, 20: 81-86
    • (2001) J Vac Sci Technol B , vol.20 , pp. 81-86
    • Gullikson, E.M.1    Cejan, C.2    Stearns, D.G.3
  • 57
    • 0034318558 scopus 로고    scopus 로고
    • Extreme ultraviolet mask defect simulation: Low-profile defects
    • Pistor T, Deng Y, Neureuther A. Extreme ultraviolet mask defect simulation: Low-profile defects. J Vac Sci Technol B, 2000, 18: 2926-2929
    • (2000) J Vac Sci Technol B , vol.18 , pp. 2926-2929
    • Pistor, T.1    Deng, Y.2    Neureuther, A.3
  • 58
    • 0141794543 scopus 로고    scopus 로고
    • System and process learning in a full-field, high-power EUVL alpha tool
    • In
    • Ballard W P, Tichenor K A, O'Connell D J, et al. System and process learning in a full-field, high-power EUVL alpha tool. In: Proc. SPIE 5037, 2003. 47-57
    • (2003) Proc. SPIE 5037 , pp. 47-57
    • Ballard, W.P.1    Tichenor, K.A.2    O'Connell, D.J.3
  • 59
    • 24644443177 scopus 로고    scopus 로고
    • Investigation of plasma-induced erosion of multilayer condenser optics
    • In
    • Anderson R J, Buchenauer D A, Williams K A, et al. Investigation of plasma-induced erosion of multilayer condenser optics. In: Proc. SPIE 5751, 2005. 128-139
    • (2005) Proc. SPIE 5751 , pp. 128-139
    • Anderson, R.J.1    Buchenauer, D.A.2    Williams, K.A.3
  • 61
    • 33745613233 scopus 로고    scopus 로고
    • Effect of charged-particle bombardment on collector mirror reflectivity in EUV lithography devices
    • In
    • Allain J P, Nieto M, Hassanein A, et al. Effect of charged-particle bombardment on collector mirror reflectivity in EUV lithography devices. In: Proc. SPIE 6151, 2006. 615131
    • (2006) Proc. SPIE 6151 , pp. 615131
    • Allain, J.P.1    Nieto, M.2    Hassanein, A.3
  • 62
    • 0032662514 scopus 로고    scopus 로고
    • Gas curtain for mitigating hydrocarbon contamination of EUV lithographic optical components
    • In
    • Kanouff M P, Ray-Chaudhuri A K. Gas curtain for mitigating hydrocarbon contamination of EUV lithographic optical components. In: Proc. SPIE 3676, 1999. 735-742
    • (1999) Proc. SPIE 3676 , pp. 735-742
    • Kanouff, M.P.1    Ray-Chaudhuri, A.K.2
  • 64
    • 0141724844 scopus 로고    scopus 로고
    • Lithographic characterization of improved projection optics in the EUVL engineering test stand
    • In
    • O'Connell D J, Lee S H, Ballard W P, et al. Lithographic characterization of improved projection optics in the EUVL engineering test stand. In: Proc. SPIE 5037, 2003. 83-94
    • (2003) Proc. SPIE 5037 , pp. 83-94
    • O'Connell, D.J.1    Lee, S.H.2    Ballard, W.P.3
  • 65
    • 0141459709 scopus 로고    scopus 로고
    • Implementing flare compensation for EUV masks through localized mask CD resizing
    • In
    • Krautschik C, Chandhok M, Zhang G, et al. Implementing flare compensation for EUV masks through localized mask CD resizing. In: Proc. SPIE 5037, 2003. 58-68
    • (2003) Proc. SPIE 5037 , pp. 58-68
    • Krautschik, C.1    Chandhok, M.2    Zhang, G.3
  • 66
    • 0141836167 scopus 로고    scopus 로고
    • EUV time-resolved studies on carbon growth and cleaning
    • In
    • Mertens B, Wolschrijn B, Jansen R, et al. EUV time-resolved studies on carbon growth and cleaning. In: Proc. SPIE 5037, 2003. 95-102
    • (2003) Proc. SPIE 5037 , pp. 95-102
    • Mertens, B.1    Wolschrijn, B.2    Jansen, R.3
  • 67
    • 0036381498 scopus 로고    scopus 로고
    • Radiation-induced carbon contamination of optics
    • In
    • Kurt R, van Beek M, Crombeen C, et al. Radiation-induced carbon contamination of optics. In: Proc. SPIE 4688, 2002. 702-709
    • (2002) Proc. SPIE 4688 , pp. 702-709
    • Kurt, R.1    van Beek, M.2    Crombeen, C.3
  • 69
    • 0034757341 scopus 로고    scopus 로고
    • Use of molecular oxygen to reduce EUV-induced carbon contamination of optics
    • In
    • Malinowski M, Grunow P, Steinhaus C, et al. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics. In: Proc. SPIE 4343, 2001. 347-356
    • (2001) Proc. SPIE 4343 , pp. 347-356
    • Malinowski, M.1    Grunow, P.2    Steinhaus, C.3
  • 70
    • 33745584129 scopus 로고    scopus 로고
    • Overcoming pattern collapse on e-beam and EUV lithography
    • In
    • Jouve A, Simon J, Pikon A, et al. Overcoming pattern collapse on e-beam and EUV lithography. In: Proc. SPIE 6153, 2006. 61531C
    • (2006) Proc. SPIE 6153
    • Jouve, A.1    Simon, J.2    Pikon, A.3
  • 71
    • 0141722561 scopus 로고    scopus 로고
    • Improvement of pattern collapse issue by additive-added D.I. water rinse process
    • In
    • Tanaka K, Naito R, Kitada T, et al. Improvement of pattern collapse issue by additive-added D.I. water rinse process. In: Proc. SPIE 5039, 2003. 1366-1381
    • (2003) Proc. SPIE 5039 , pp. 1366-1381
    • Tanaka, K.1    Naito, R.2    Kitada, T.3
  • 72
    • 4344634864 scopus 로고    scopus 로고
    • A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties
    • Yoshimoto K, Stoykovich P, Cao H B, et al. A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties. J Appl Phys, 2004, 96: 1857-1865
    • (2004) J Appl Phys , vol.96 , pp. 1857-1865
    • Yoshimoto, K.1    Stoykovich, P.2    Cao, H.B.3
  • 74
    • 3843062248 scopus 로고    scopus 로고
    • Performance of a SSQ-type ArF bilayer resist in 80-nm node DRAM line and space fabrication
    • In
    • Jung M H, Kim H W, Hong J, et al. Performance of a SSQ-type ArF bilayer resist in 80-nm node DRAM line and space fabrication. In: Proc. SPIE 5376, 2004. 1100-1106
    • (2004) Proc. SPIE 5376 , pp. 1100-1106
    • Jung, M.H.1    Kim, H.W.2    Hong, J.3
  • 75
    • 0034318269 scopus 로고    scopus 로고
    • Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse
    • Goldfarb D L, de Pablo J J, Nealey P F, et al. Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse. J Vac Sci Technol B, 2000, 18: 3313-3317
    • (2000) J Vac Sci Technol B , vol.18 , pp. 3313-3317
    • Goldfarb, D.L.1    de Pablo, J.J.2    Nealey, P.F.3
  • 76
    • 0036381285 scopus 로고    scopus 로고
    • New supercritical resist dryer
    • In
    • Namatsu H. New supercritical resist dryer. In: Proc. SPIE 4688, 2002. 888-895
    • (2002) Proc. SPIE 4688 , pp. 888-895
    • Namatsu, H.1
  • 78
    • 0034317289 scopus 로고    scopus 로고
    • Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms
    • Cao H B, Nealey P F. Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms. J Vac Sci Technol B, 2000, 18: 3303-3307
    • (2000) J Vac Sci Technol B , vol.18 , pp. 3303-3307
    • Cao, H.B.1    Nealey, P.F.2
  • 79
    • 3843125224 scopus 로고    scopus 로고
    • Surface conditioning solutions for pattern collapse reduction
    • In
    • Zhang P, Jaramillo M Jr, King D J, et al. Surface conditioning solutions for pattern collapse reduction. In: Proc. SPIE 5376, 2004. 807-812
    • (2004) Proc. SPIE 5376 , pp. 807-812
    • Zhang, P.1    Jaramillo Jr., M.2    King, D.J.3
  • 80
    • 3843090461 scopus 로고    scopus 로고
    • The use of surfactant in the rinse to improve collapse behavior of chemically amplified photoresists
    • In
    • Junarsa I, Stoykovich M P, Yoshimoto K, et al. The use of surfactant in the rinse to improve collapse behavior of chemically amplified photoresists. In: Proc. SPIE 5376, 2004. 842-849
    • (2004) Proc. SPIE 5376 , pp. 842-849
    • Junarsa, I.1    Stoykovich, M.P.2    Yoshimoto, K.3
  • 81
    • 3843060119 scopus 로고    scopus 로고
    • Single-layer and bilayer resist processes for EUV-type integrations
    • In
    • Peters R, Parker C, Cobb J, et al. Single-layer and bilayer resist processes for EUV-type integrations. In: Proc. SPIE 5376, 2005. 746
    • (2005) Proc. SPIE 5376 , pp. 746
    • Peters, R.1    Parker, C.2    Cobb, J.3
  • 82
    • 3843071982 scopus 로고    scopus 로고
    • Sources of line-width roughness for EUV resists
    • In
    • Cao H, Yueh W, Rice B, et al. Sources of line-width roughness for EUV resists. In: Proc. SPIE 5376, 2005. 757
    • (2005) Proc. SPIE 5376 , pp. 757
    • Cao, H.1    Yueh, W.2    Rice, B.3
  • 83
    • 0032402534 scopus 로고    scopus 로고
    • Shot-noise impact on resist roughness in EUV lithography
    • In
    • Hutchinson J M. Shot-noise impact on resist roughness in EUV lithography. In: Proc. SPIE 3331, 1998. 531-536
    • (1998) Proc. SPIE 3331 , pp. 531-536
    • Hutchinson, J.M.1
  • 84
    • 3843087239 scopus 로고    scopus 로고
    • Shot noise, LER, and quantum efficiency of EUV photoresists
    • In
    • Brainard R, Trefonas P, Lammers J H, et al. Shot noise, LER, and quantum efficiency of EUV photoresists. In: Proc. SPIE 5374, 2004. 74-85
    • (2004) Proc. SPIE 5374 , pp. 74-85
    • Brainard, R.1    Trefonas, P.2    Lammers, J.H.3
  • 85
    • 35148864083 scopus 로고    scopus 로고
    • The resist materials study for the outgassing reduction and LWR improvement in EUV lithography
    • In
    • Masuda S, Kamimura S, Hirano S, et al. The resist materials study for the outgassing reduction and LWR improvement in EUV lithography. In: Proc. SPIE 6519, 2007. 65191O
    • (2007) Proc. SPIE 6519
    • Masuda, S.1    Kamimura, S.2    Hirano, S.3
  • 87
    • 3843125194 scopus 로고    scopus 로고
    • Novel resists with nontraditional compositions for EUV lithography
    • In
    • Dai J, Ober C K. Novel resists with nontraditional compositions for EUV lithography. In: Proc. SPIE 5376, 2005. 508
    • (2005) Proc. SPIE 5376 , pp. 508
    • Dai, J.1    Ober, C.K.2
  • 89
    • 79955822779 scopus 로고    scopus 로고
    • Theoretical estimation on the balance between the absorption coefficient and etching resistance of various polymers at 13 nm
    • In, Monterey, CA, USA
    • Matsuzawa N, Oizumi H, Mori S, et al. Theoretical estimation on the balance between the absorption coefficient and etching resistance of various polymers at 13 nm. In: First EUVL Workshop, Monterey, CA, USA, 1999
    • (1999) First EUVL Workshop
    • Matsuzawa, N.1    Oizumi, H.2    Mori, S.3
  • 90
    • 33745611562 scopus 로고    scopus 로고
    • Molecular glass resists for EUV lithography
    • In
    • De Silva A, Forman D, Ober C K. Molecular glass resists for EUV lithography. In: Proc. SPIE 6153, 2006. 615341
    • (2006) Proc. SPIE 6153 , pp. 615341
    • de Silva, A.1    Forman, D.2    Ober, C.K.3
  • 91
    • 0036030281 scopus 로고    scopus 로고
    • Organoelement resists for EUV lithography
    • In
    • Dai J, Ober C K, Wang L, et al. Organoelement resists for EUV lithography. In: Proc. SPIE 4690, 2002. 1193-1202
    • (2002) Proc. SPIE 4690 , pp. 1193-1202
    • Dai, J.1    Ober, C.K.2    Wang, L.3
  • 92
    • 0141722646 scopus 로고    scopus 로고
    • Synthesis and evaluation of novel organoelement resists for EUV lithography
    • In
    • Dai J, Ober C K, Kim S O, et al. Synthesis and evaluation of novel organoelement resists for EUV lithography. In: Proc. SPIE 5039, 2003. 1164-1172
    • (2003) Proc. SPIE 5039 , pp. 1164-1172
    • Dai, J.1    Ober, C.K.2    Kim, S.O.3
  • 93
    • 0033720560 scopus 로고    scopus 로고
    • Photoresist film thickness for extreme ultraviolet lithography
    • In
    • Dentinger P, cardinale G, henderson C, et al. Photoresist film thickness for extreme ultraviolet lithography. In: Proc. SPIE 3997, 2000. 588-599
    • (2000) Proc. SPIE 3997 , pp. 588-599
    • Dentinger, P.1    Cardinale, G.2    Henderson, C.3
  • 94
    • 0032401486 scopus 로고    scopus 로고
    • Top-surface imaging resists for EUV lithography
    • In
    • Henderson C, Wheeler D, Pollagi T, et al. Top-surface imaging resists for EUV lithography. In: Proc. SPIE 3331, 1998. 32-40
    • (1998) Proc. SPIE 3331 , pp. 32-40
    • Henderson, C.1    Wheeler, D.2    Pollagi, T.3
  • 95
    • 57349127346 scopus 로고    scopus 로고
    • Single molecule chemically amplified resists based on ionic and non-ionic PAGs
    • In
    • Lawson R A, Lee C T, Yueh W, et al. Single molecule chemically amplified resists based on ionic and non-ionic PAGs. In: Proc. SPIE 6923, 2008. 69230K
    • (2008) Proc. SPIE 6923
    • Lawson, R.A.1    Lee, C.T.2    Yueh, W.3
  • 96
    • 77953429920 scopus 로고    scopus 로고
    • EUV into production with ASML's NXE platform
    • In
    • Wagner C, Harned N, Kuerz P, et al. EUV into production with ASML's NXE platform. In: Proc. SPIE 7636, 2010. 67361H
    • (2010) Proc. SPIE 7636
    • Wagner, C.1    Harned, N.2    Kuerz, P.3
  • 97
    • 77953425116 scopus 로고    scopus 로고
    • Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility study
    • In
    • Koha C, Georger J, Ren L, et al. Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility study. In: Proc. SPIE 7636, 2010. 763604
    • (2010) Proc. SPIE 7636 , pp. 763604
    • Koha, C.1    Georger, J.2    Ren, L.3
  • 98
    • 33745634843 scopus 로고    scopus 로고
    • Novel low thermal expansion material for EUV application
    • In
    • Kawata M, Takada A, Hayashi H, et al. Novel low thermal expansion material for EUV application. In: Proc. SPIE 6151, 2006. 61511A
    • (2006) Proc. SPIE 6151
    • Kawata, M.1    Takada, A.2    Hayashi, H.3
  • 99
    • 33745630639 scopus 로고    scopus 로고
    • Characterization of striae in ULE for EUVL optics and masks
    • In
    • Rosch W, Beall L, Maxon J, et al. Characterization of striae in ULE for EUVL optics and masks. In: Proc. SPIE 6151, 2006. 615122
    • (2006) Proc. SPIE 6151 , pp. 615122
    • Rosch, W.1    Beall, L.2    Maxon, J.3
  • 100
    • 36248969187 scopus 로고    scopus 로고
    • Commercial EUV mask blank readiness for 32 nm HP manufacturing
    • In
    • Seidel P. Commercial EUV mask blank readiness for 32 nm HP manufacturing. In: Proc. SPIE 6607, 2007. 66070I
    • (2007) Proc. SPIE 6607
    • Seidel, P.1
  • 101
    • 0141501214 scopus 로고    scopus 로고
    • Estimation-EUV mask flatness for allowable pattern shift
    • In
    • Chiba A, Sugawara M, Nishiyama I. Estimation-EUV mask flatness for allowable pattern shift. In: Proc. SPIE 5037, 2003. 841-849
    • (2003) Proc. SPIE 5037 , pp. 841-849
    • Chiba, A.1    Sugawara, M.2    Nishiyama, I.3
  • 102
    • 0037965846 scopus 로고    scopus 로고
    • Pattern inspection of EUV masks using DUV light
    • In
    • Liang T, Tejnil E, Stivers A. Pattern inspection of EUV masks using DUV light. In: Proc. SPIE 4889, 2002. 1065-1072
    • (2002) Proc. SPIE 4889 , pp. 1065-1072
    • Liang, T.1    Tejnil, E.2    Stivers, A.3
  • 103
    • 0035765795 scopus 로고    scopus 로고
    • Enhanced optical inspectability of patterned EUVL mask
    • In
    • Liang T, Stivers A, Yan P Y, et al. Enhanced optical inspectability of patterned EUVL mask. In: Proc. SPIE 4562, 2002. 288-296
    • (2002) Proc. SPIE 4562 , pp. 288-296
    • Liang, T.1    Stivers, A.2    Yan, P.Y.3
  • 104
    • 19844377035 scopus 로고    scopus 로고
    • Characterization of defect detection sensitivity in inspection of mask substrates and blanks for extreme-ultraviolet lithography
    • In
    • Tejnil E, Gullikson E, Stivers A R. Characterization of defect detection sensitivity in inspection of mask substrates and blanks for extreme-ultraviolet lithography. In: Proc. SPIE 5567, 2004. 943-952
    • (2004) Proc. SPIE 5567 , pp. 943-952
    • Tejnil, E.1    Gullikson, E.2    Stivers, A.R.3
  • 105
    • 0001247007 scopus 로고    scopus 로고
    • At-wavelength detection of extreme ultraviolet lithography mask blank defects
    • Jeong S, Lin Y, Johnson L, et al. At-wavelength detection of extreme ultraviolet lithography mask blank defects. J Vac Sci Technol B, 1998, 16: 3430-3434
    • (1998) J Vac Sci Technol B , vol.16 , pp. 3430-3434
    • Jeong, S.1    Lin, Y.2    Johnson, L.3
  • 106
    • 0033265196 scopus 로고    scopus 로고
    • Actinic detection of sub-100 nm defects on extreme ultraviolet lithography mask blanks
    • Jeong S, Johnson L, Rekawa S, et al. Actinic detection of sub-100 nm defects on extreme ultraviolet lithography mask blanks. J Vac Sci Technol B, 1999, 17: 3009-3013
    • (1999) J Vac Sci Technol B , vol.17 , pp. 3009-3013
    • Jeong, S.1    Johnson, L.2    Rekawa, S.3
  • 107
    • 0033331476 scopus 로고    scopus 로고
    • Options for at-wavelength inspection of patterned extreme ultraviolet lithography masks
    • In
    • Tejnil E, Stivers A. Options for at-wavelength inspection of patterned extreme ultraviolet lithography masks. In: Proc. SPIE 3873, 1999. 792-803
    • (1999) Proc. SPIE 3873 , pp. 792-803
    • Tejnil, E.1    Stivers, A.2
  • 108
    • 0034316881 scopus 로고    scopus 로고
    • At-wavelength extreme ultraviolet lithography mask inspection using a Mirau interferometric microscope
    • Haga T, Takenaka H, Fukuda M. At-wavelength extreme ultraviolet lithography mask inspection using a Mirau interferometric microscope. J Vac Sci Technol B, 2000, 18: 2916-2920
    • (2000) J Vac Sci Technol B , vol.18 , pp. 2916-2920
    • Haga, T.1    Takenaka, H.2    Fukuda, M.3
  • 109
    • 33745588530 scopus 로고    scopus 로고
    • Defect inspection of EUV mask blank using confocal microscopy: Simulation and experiment
    • In
    • Kim S S, Par J, Chalykh R, et al. Defect inspection of EUV mask blank using confocal microscopy: simulation and experiment. In: Proc. SPIE 6151, 2006. 61511C
    • (2006) Proc. SPIE 6151
    • Kim, S.S.1    Par, J.2    Chalykh, R.3
  • 110
    • 0038642154 scopus 로고    scopus 로고
    • Evaluation of the capability of a multibeam confocal inspection system for inspection of EUVL mask blanks
    • In
    • Stivers A, Liang T, Penn M, et al. Evaluation of the capability of a multibeam confocal inspection system for inspection of EUVL mask blanks. In: Proc. SPIE 4889, 2002. 408-417
    • (2002) Proc. SPIE 4889 , pp. 408-417
    • Stivers, A.1    Liang, T.2    Penn, M.3
  • 111
    • 1842422569 scopus 로고    scopus 로고
    • EUV substrate and blank inspection with confocal microscopy
    • In
    • Urbach J P, Cavelaars J, Kusunose H, et al. EUV substrate and blank inspection with confocal microscopy. In: Proc. SPIE 5256, 2003. 556-565
    • (2003) Proc. SPIE 5256 , pp. 556-565
    • Urbach, J.P.1    Cavelaars, J.2    Kusunose, H.3
  • 112
    • 0141501068 scopus 로고    scopus 로고
    • Concept of ultra-fast at-wavelength inspection of defects on a multilayer mask using a laser-produced plasma source
    • In
    • Tomie T, Erasawa T, Tezuka Y, et al. Concept of ultra-fast at-wavelength inspection of defects on a multilayer mask using a laser-produced plasma source. In: Proc. SPIE 5038, 2003. 41-48
    • (2003) Proc. SPIE 5038 , pp. 41-48
    • Tomie, T.1    Erasawa, T.2    Tezuka, Y.3
  • 113
    • 0141611926 scopus 로고    scopus 로고
    • Design and development of a novel actinic inspection tool for EUV multilayer-coated mask blanks
    • In
    • Tezuka Y, Ito M, Terasawa T, et al. Design and development of a novel actinic inspection tool for EUV multilayer-coated mask blanks. In: Proc. SPIE 5038, 2003. 866-877
    • (2003) Proc. SPIE 5038 , pp. 866-877
    • Tezuka, Y.1    Ito, M.2    Terasawa, T.3
  • 114
    • 33745635018 scopus 로고    scopus 로고
    • Actinic EUVL mask blank defect inspection by EUV photoelectron microscopy
    • In
    • Kleineberg U, Lin J, Neuhaeusler U, et al. Actinic EUVL mask blank defect inspection by EUV photoelectron microscopy. In: Proc. SPIE 6151, 2006. 615120
    • (2006) Proc. SPIE 6151 , pp. 615120
    • Kleineberg, U.1    Lin, J.2    Neuhaeusler, U.3
  • 115
    • 3843114387 scopus 로고    scopus 로고
    • Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging
    • In
    • Tezuka Y, Ito M, Terasawa T, et al. Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging. In: Proc. SPIE 5374, 2004. 271-280
    • (2004) Proc. SPIE 5374 , pp. 271-280
    • Tezuka, Y.1    Ito, M.2    Terasawa, T.3
  • 116
    • 19844380017 scopus 로고    scopus 로고
    • Phase-shift mask for EUV lithography
    • In
    • Tezuka Y, Ito M, Terasawa T, et al. Phase-shift mask for EUV lithography. In: Proc. SPIE 5567, 2004. 791-799
    • (2004) Proc. SPIE 5567 , pp. 791-799
    • Tezuka, Y.1    Ito, M.2    Terasawa, T.3
  • 118
    • 24644462232 scopus 로고    scopus 로고
    • Defect printability and defect inspection simulations of patterned EUVL mask using rigorous coupled-wave analysis
    • In
    • Kim S S, Chalyck R, Woo S G, et al. Defect printability and defect inspection simulations of patterned EUVL mask using rigorous coupled-wave analysis. In: Proc. SPIE 5751, 2005. 678-686
    • (2005) Proc. SPIE 5751 , pp. 678-686
    • Kim, S.S.1    Chalyck, R.2    Woo, S.G.3
  • 121
    • 0036380443 scopus 로고    scopus 로고
    • Verification studies of thermophoretic protection for EUV masks
    • In
    • Rader D J, Dedrick D E, Beyer E W, et al. Verification studies of thermophoretic protection for EUV masks. In: Proc. SPIE 4688, 2002. 182-193
    • (2002) Proc. SPIE 4688 , pp. 182-193
    • Rader, D.J.1    Dedrick, D.E.2    Beyer, E.W.3
  • 122
    • 28444467060 scopus 로고    scopus 로고
    • Verification studies of thermophoretic protection for extreme ultraviolet masks
    • Dedrick D E, Beyer E W, Rader D J, et al. Verification studies of thermophoretic protection for extreme ultraviolet masks. J Vac Sci Technol B, 2005, 23: 307-317
    • (2005) J Vac Sci Technol B , vol.23 , pp. 307-317
    • Dedrick, D.E.1    Beyer, E.W.2    Rader, D.J.3
  • 123
    • 33748078780 scopus 로고    scopus 로고
    • TaN-based EUV mask absorber etch study
    • In
    • Du Y, Choi C J, Zhang G, et al. TaN-based EUV mask absorber etch study. In: Proc. SPIE 6283, 2006. 62833D
    • (2006) Proc. SPIE 6283
    • Du, Y.1    Choi, C.J.2    Zhang, G.3
  • 124
    • 33745593699 scopus 로고    scopus 로고
    • EUVL mask blanks: Recent results on substrates, multilayers and the dry-etch process of TaN-absorbers
    • In
    • Seitz H, Renno M, Leubecher T, et al. EUVL mask blanks: Recent results on substrates, multilayers and the dry-etch process of TaN-absorbers. In: Proc. SPIE 6151, 2006. 615109
    • (2006) Proc. SPIE 6151 , pp. 615109
    • Seitz, H.1    Renno, M.2    Leubecher, T.3
  • 125
    • 33644601735 scopus 로고    scopus 로고
    • Magnetron reactive sputtering of TaN and TaON films for EUV mask applications
    • In
    • Lee K M, Tavassoli M, Stivers A, et al. Magnetron reactive sputtering of TaN and TaON films for EUV mask applications. In: Proc. SPIE 5992, 2005. 59922B
    • (2005) Proc. SPIE 5992
    • Lee, K.M.1    Tavassoli, M.2    Stivers, A.3
  • 126
  • 127
    • 1642555601 scopus 로고    scopus 로고
    • Study on exposure contrast of an EUV mask
    • In
    • Hosoya M, Shoki T, Kinoshita T, et al. Study on exposure contrast of an EUV mask. In: Proc. SPIE 5130, 2003. 1026-1034
    • (2003) Proc. SPIE 5130 , pp. 1026-1034
    • Hosoya, M.1    Shoki, T.2    Kinoshita, T.3
  • 128
    • 19844363172 scopus 로고    scopus 로고
    • EUVL mask patterning with blanks from commercial suppliers
    • In
    • Yan P Y, Zhang G, Nagpal R, et al. EUVL mask patterning with blanks from commercial suppliers. In: Proc. SPIE 5567, 2004. 774-780
    • (2004) Proc. SPIE 5567 , pp. 774-780
    • Yan, P.Y.1    Zhang, G.2    Nagpal, R.3
  • 129
    • 33846581528 scopus 로고    scopus 로고
    • Process development for EUV mask production
    • In
    • Abe T, Fujii A, Sasaki S, et al. Process development for EUV mask production. In: Proc. SPIE 6439, 2006. 64393G
    • (2006) Proc. SPIE 6439
    • Abe, T.1    Fujii, A.2    Sasaki, S.3
  • 130
    • 33748038040 scopus 로고    scopus 로고
    • EUV mask development status at ASET and DNP
    • In
    • Abe T, Fujii A, Mohri H, et al. EUV mask development status at ASET and DNP. In: Proc. SPIE 6283, 2006. 62830H
    • (2006) Proc. SPIE 6283
    • Abe, T.1    Fujii, A.2    Mohri, H.3
  • 131
  • 132
    • 0001317834 scopus 로고    scopus 로고
    • Characteristics of the Ru buffer layer for EUVL mask patterning
    • In
    • Lee B T, Hoshino E, Takahashi M, et al. Characteristics of the Ru buffer layer for EUVL mask patterning. In: Proc. SPIE 4343, 2001. 746-753
    • (2001) Proc. SPIE 4343 , pp. 746-753
    • Lee, B.T.1    Hoshino, E.2    Takahashi, M.3
  • 134
    • 19844376637 scopus 로고    scopus 로고
    • Thermodynamic study of photomask plasma etching
    • In
    • Wu B. Thermodynamic study of photomask plasma etching. In: Proc. SPIE 5567, 2004. 1195
    • (2004) Proc. SPIE 5567 , pp. 1195
    • Wu, B.1
  • 135
    • 31544452326 scopus 로고    scopus 로고
    • Photomask plasma etching: A review
    • Wu B. Photomask plasma etching: A review. J Vac Sci Technol B, 2006, 24: 1
    • (2006) J Vac Sci Technol B , vol.24 , pp. 1
    • Wu, B.1
  • 136
  • 138
    • 33846942606 scopus 로고    scopus 로고
    • Plasma etch method for extreme ultraviolet lithography photomask
    • Wu B, Kumar A. Plasma etch method for extreme ultraviolet lithography photomask. Appl Phys Lett, 2007, 90: 063105
    • (2007) Appl Phys Lett , vol.90 , pp. 063105
    • Wu, B.1    Kumar, A.2
  • 139
    • 0033338043 scopus 로고    scopus 로고
    • System architecture choices for an advanced mask writer (100 to 130 nm)
    • In
    • Chakarian R, Raymond F, Sauer C, et al. System architecture choices for an advanced mask writer (100 to 130 nm). In: Proc. SPIE 3873, 1999. 223-242
    • (1999) Proc. SPIE 3873 , pp. 223-242
    • Chakarian, R.1    Raymond, F.2    Sauer, C.3
  • 141
    • 77953316284 scopus 로고    scopus 로고
    • 50 keV electron-beam projection maskless lithography (PML2): Results obtained with 2,500 programmable 12.5-nm sized beams
    • In
    • Klein C, Klikovits J, Szikszai L, et al. 50 keV electron-beam projection maskless lithography (PML2): results obtained with 2,500 programmable 12.5-nm sized beams. In: Proc. SPIE 7637, 2010. 76370B
    • (2010) Proc. SPIE 7637
    • Klein, C.1    Klikovits, J.2    Szikszai, L.3
  • 142
    • 77953313243 scopus 로고    scopus 로고
    • Architecture for next-generation massively parallel maskless lithography system (MPML2)
    • In
    • Su M S, Tsai KY, Lu Y C, et al. Architecture for next-generation massively parallel maskless lithography system (MPML2). In: Proc. SPIE 7637, 2010. 76371Q
    • (2010) Proc. SPIE 7637
    • Su, M.S.1    Tsai, K.Y.2    Lu, Y.C.3
  • 143
    • 77953295347 scopus 로고    scopus 로고
    • Characteristics performance of production-worthy multiple e-beam maskless lithography
    • In
    • Lin S J, Wang W C, Chen P S, et al. Characteristics performance of production-worthy multiple e-beam maskless lithography. In: Proc. SPIE 7637, 2010. 763717
    • (2010) Proc. SPIE 7637 , pp. 763717
    • Lin, S.J.1    Wang, W.C.2    Chen, P.S.3
  • 145
    • 77953312554 scopus 로고    scopus 로고
    • Maskless lithography and nanopatterning with electron and ion multibeam projection
    • In
    • Platzgummer E. Maskless lithography and nanopatterning with electron and ion multibeam projection. In: Proc. SPIE 7637, 2010. 763703
    • (2010) Proc. SPIE 7637 , pp. 763703
    • Platzgummer, E.1
  • 146
  • 149
    • 77149179739 scopus 로고    scopus 로고
    • Step-and-repeat process for thermal nanoimprint lithography
    • Yoon H, Cho H S, Suh K Y, et al. Step-and-repeat process for thermal nanoimprint lithography. Nanotechnology, 2010, 21: 105302
    • (2010) Nanotechnology , vol.21 , pp. 105302
    • Yoon, H.1    Cho, H.S.2    Suh, K.Y.3
  • 150
    • 71949115057 scopus 로고    scopus 로고
    • Thermo-curable epoxy systems for nanoimprint lithography
    • Wu C C, Hsu S L C. Thermo-curable epoxy systems for nanoimprint lithography. J Micromech Microeng, 2010, 20: 015006
    • (2010) J Micromech Microeng , vol.20 , pp. 015006
    • Wu, C.C.1    Hsu, S.L.C.2
  • 151
    • 67149091231 scopus 로고    scopus 로고
    • Step and flash imprint lithography for manufacturing patterned media
    • In
    • Brooks C, Schmid G M, Miller M, et al. Step and flash imprint lithography for manufacturing patterned media. In: Proc. SPIE 7271, 2009. 72711L
    • (2009) Proc. SPIE 7271
    • Brooks, C.1    Schmid, G.M.2    Miller, M.3
  • 152
    • 50849134310 scopus 로고    scopus 로고
    • Chemical and mechanical properties of UV-cured nanoimprint resists and release layer interactions
    • In
    • Houle F A, Fornof A, Miller DC, et al. Chemical and mechanical properties of UV-cured nanoimprint resists and release layer interactions. In: Proc. SPIE 6921, 2008. 69210B
    • (2008) Proc. SPIE 6921
    • Houle, F.A.1    Fornof, A.2    Miller, D.C.3
  • 153
    • 77953298860 scopus 로고    scopus 로고
    • Planarizing material for reverse-tone step and flash imprint lithography
    • In
    • Ogawa T, Takei S, Jacobsson B M, et al. Planarizing material for reverse-tone step and flash imprint lithography. In: Proc. SPIE 7637, 2010.763708
    • (2010) Proc. SPIE 7637 , pp. 763708
    • Ogawa, T.1    Takei, S.2    Jacobsson, B.M.3
  • 154
    • 77953310489 scopus 로고    scopus 로고
    • Step and flash imprint lithography for semiconductor high volume manufacturing
    • In
    • Malloy M, Litt L C. Step and flash imprint lithography for semiconductor high volume manufacturing. In: Proc. SPIE 7637, 2010. 763706
    • (2010) Proc. SPIE 7637 , pp. 763706
    • Malloy, M.1    Litt, L.C.2
  • 155
  • 156
    • 77953305689 scopus 로고    scopus 로고
    • Inspection of imprint lithography patterns for semiconductor and patterned media
    • In
    • Resnick D J, haase G, Sing L, et al. Inspection of imprint lithography patterns for semiconductor and patterned media. In: Proc. SPIE 7637, 2010. 76370R
    • (2010) Proc. SPIE 7637
    • Resnick, D.J.1    haase, G.2    Sing, L.3
  • 157
    • 67149124052 scopus 로고    scopus 로고
    • High-resolution defect inspection of step-and-flash imprint lithography for 32-nm half-pitch patterning
    • In
    • Selinidis K, Thompson E, McMackin I, et al. High-resolution defect inspection of step-and-flash imprint lithography for 32-nm half-pitch patterning. In: Proc. SPIE 7271, 2009. 72711W
    • (2009) Proc. SPIE 7271
    • Selinidis, K.1    Thompson, E.2    McMackin, I.3
  • 158
    • 70350680748 scopus 로고    scopus 로고
    • Study of nanoimprint lithography for applications toward 22nm node CMOS devices
    • In
    • Yoneda I, Mikami S, Ota T, et al. Study of nanoimprint lithography for applications toward 22nm node CMOS devices. In: Proc. SPIE 6921, 2008. 692104
    • (2008) Proc. SPIE 6921 , pp. 692104
    • Yoneda, I.1    Mikami, S.2    Ota, T.3
  • 159
    • 79959342199 scopus 로고    scopus 로고
    • Interfacial adhesion studies for step and flash imprint lithography
    • In
    • Lin M W, Hellebusch D J, Wu K, et al. Interfacial adhesion studies for step and flash imprint lithography. In: Proc. SPIE 6921, 2008. 69210E
    • (2008) Proc. SPIE 6921
    • Lin, M.W.1    Hellebusch, D.J.2    Wu, K.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.