-
1
-
-
84859967419
-
SPIN: A Scalable, Packet Switched, On-Chip Micro-network
-
Adriahantenaina A., Charlery H., Greiner A., Mortiezand L., Zeferino C. SPIN: A Scalable, Packet Switched, On-Chip Micro-network. DATE -Design, Automation and Test in Europe Conference and Exhibition 2003, 70-73.
-
(2003)
DATE -Design, Automation and Test in Europe Conference and Exhibition
, pp. 70-73
-
-
Adriahantenaina, A.1
Charlery, H.2
Greiner, A.3
Mortiezand, L.4
Zeferino, C.5
-
2
-
-
20444496778
-
Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects
-
June
-
Ajami A.H., Banerjee K., Pedram M. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects. IEEE Transactions on CAD June 2005, Vol. 24(No. 6):849-861.
-
(2005)
IEEE Transactions on CAD
, vol.24
, Issue.6
, pp. 849-861
-
-
Ajami, A.H.1
Banerjee, K.2
Pedram, M.3
-
5
-
-
0036859776
-
Multiprocessor SoC Platforms: A Component-Based Design Approach
-
November-December
-
Cesario W.O., Lyonnard D., Nicolescu G., Paviot Y., Yoo S., Gauthier L., Diaz-Nava M., Jerraya A.A. Multiprocessor SoC Platforms: A Component-Based Design Approach. IEEE Design and Test of Computers November-December 2002, Vol. 19(No. 6):52-63.
-
(2002)
IEEE Design and Test of Computers
, vol.19
, Issue.6
, pp. 52-63
-
-
Cesario, W.O.1
Lyonnard, D.2
Nicolescu, G.3
Paviot, Y.4
Yoo, S.5
Gauthier, L.6
Diaz-Nava, M.7
Jerraya, A.A.8
-
8
-
-
0027579765
-
Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels
-
April
-
Dally W.J., Aoki H. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels. IEEE Transactions on Parallel and Distributed Systems April 1993, Vol. 4(No. 4):466-475.
-
(1993)
IEEE Transactions on Parallel and Distributed Systems
, vol.4
, Issue.4
, pp. 466-475
-
-
Dally, W.J.1
Aoki, H.2
-
10
-
-
0344981523
-
Xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for Multiprocessor SoCs
-
Dall'Osso M., Biccari G., Giovannini L., Bertozzi D., Benini L. Xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for Multiprocessor SoCs. International Conference on Computer Design 2003, 536-539.
-
(2003)
International Conference on Computer Design
, pp. 536-539
-
-
Dall'Osso, M.1
Biccari, G.2
Giovannini, L.3
Bertozzi, D.4
Benini, L.5
-
11
-
-
15044339297
-
Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation
-
November-December
-
Ernst D., Das S., Lee S., Blaauw D., Austin T., Mudge T., Kim N.S., Flautner K. Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation. IEEE Micro November-December 2004, Vol. 24(No. 6):10-20.
-
(2004)
IEEE Micro
, vol.24
, Issue.6
, pp. 10-20
-
-
Ernst, D.1
Das, S.2
Lee, S.3
Blaauw, D.4
Austin, T.5
Mudge, T.6
Kim, N.S.7
Flautner, K.8
-
13
-
-
0012441737
-
-
Morgan Kaufmann, Cambridge, MA
-
Duato J., Yalamanchili S., Ni L. Interconnection Networks: An Engineering Approach 2003, Morgan Kaufmann, Cambridge, MA.
-
(2003)
Interconnection Networks: An Engineering Approach
-
-
Duato, J.1
Yalamanchili, S.2
Ni, L.3
-
17
-
-
0034245046
-
Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise
-
August
-
Hegde R., Shanbhag N. Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise. IEEE Transactions on VLSI Systems August 2000, Vol. 8(No. 4):379-391.
-
(2000)
IEEE Transactions on VLSI Systems
, vol.8
, Issue.4
, pp. 379-391
-
-
Hegde, R.1
Shanbhag, N.2
-
19
-
-
84954421164
-
Energy-Aware Mapping for Tile-Based NOC Architectures Under Performance Constraints
-
Hu J., Marculescu R. Energy-Aware Mapping for Tile-Based NOC Architectures Under Performance Constraints. Asian-Pacific Design Automation Conference 2003.
-
(2003)
Asian-Pacific Design Automation Conference
-
-
Hu, J.1
Marculescu, R.2
-
21
-
-
0035271572
-
Imagine: Media Processing with Streams
-
Khailany B., et al. Imagine: Media Processing with Streams. IEEE Micro 2001, Vol. 21(No. 2):35-46.
-
(2001)
IEEE Micro
, vol.21
, Issue.2
, pp. 35-46
-
-
Khailany, B.1
-
23
-
-
0036911921
-
Managing Power and Performance for Systems on Chip Design Using Voltage Islands
-
Lackey D., Zuchowski P., Bednar T., Stout D., Gould S., Cohn J. Managing Power and Performance for Systems on Chip Design Using Voltage Islands. ICCAD - International Conference on Computer Aided Design 2002, 195-202.
-
(2002)
ICCAD - International Conference on Computer Aided Design
, pp. 195-202
-
-
Lackey, D.1
Zuchowski, P.2
Bednar, T.3
Stout, D.4
Gould, S.5
Cohn, J.6
-
24
-
-
0000404969
-
A Methodology for Architecture Exploration of Heterogeneous Signal Processing Systems
-
Lieverse P., van der Wolf P., Vissers K., Deprettere E. A Methodology for Architecture Exploration of Heterogeneous Signal Processing Systems. Journal of VLSI Signal Processing for Signal, Image and Video Technology 2001, Vol. 29(No. 3):197-207.
-
(2001)
Journal of VLSI Signal Processing for Signal, Image and Video Technology
, vol.29
, Issue.3
, pp. 197-207
-
-
Lieverse, P.1
van der Wolf, P.2
Vissers, K.3
Deprettere, E.4
-
25
-
-
0033341604
-
Designing and Programming the Emotion Engine
-
November-December
-
Oka M., Suzuoki M. Designing and Programming the Emotion Engine. IEEE Micro November-December 1999, Vol. 19(No. 6):20-28.
-
(1999)
IEEE Micro
, vol.19
, Issue.6
, pp. 20-28
-
-
Oka, M.1
Suzuoki, M.2
-
26
-
-
31344457004
-
Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor
-
January
-
Pham D., et al. Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor. IEEE Journal of Solid-State Circuits January 2006, Vol. 41(No. 1):179-196.
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 179-196
-
-
Pham, D.1
-
28
-
-
1342346134
-
Temperature-Aware Computer Systems: Opportunities and Challenges
-
November-December
-
Skadron K., et al. Temperature-Aware Computer Systems: Opportunities and Challenges. IEEE Micro November-December 2003, Vol. 23(No. 6):52-61.
-
(2003)
IEEE Micro
, vol.23
, Issue.6
, pp. 52-61
-
-
Skadron, K.1
-
29
-
-
0033903824
-
A Global Wiring Paradigm for Deep Submicron Design
-
February
-
Sylvester D., Keutzer K. A Global Wiring Paradigm for Deep Submicron Design. IEEE Transactions on CAD/ICAS February 2000, Vol. 19(No. 2):242-252.
-
(2000)
IEEE Transactions on CAD/ICAS
, vol.19
, Issue.2
, pp. 242-252
-
-
Sylvester, D.1
Keutzer, K.2
-
31
-
-
0033689943
-
The Future of Interconnection Technology
-
May
-
Theis T. The Future of Interconnection Technology. IBM Journal of Research and Development May 2000, Vol. 44(No. 3):379-390.
-
(2000)
IBM Journal of Research and Development
, vol.44
, Issue.3
, pp. 379-390
-
-
Theis, T.1
-
32
-
-
0031236158
-
Baring It All to Software: Raw Machines
-
September
-
Waingold E., et al. Baring It All to Software: Raw Machines. IEEE Computer September 1997, Vol. 30(No. 9):86-93.
-
(1997)
IEEE Computer
, vol.30
, Issue.9
, pp. 86-93
-
-
Waingold, E.1
-
35
-
-
0036956946
-
An Adaptive Low-Power Transmission Scheme for On-Chip Networks
-
Worm F., Ienne P., Thiran P., De Micheli G. An Adaptive Low-Power Transmission Scheme for On-Chip Networks. ISSS, Proceedings of the International Symposium on System Synthesis 2002, 92-100.
-
(2002)
ISSS, Proceedings of the International Symposium on System Synthesis
, pp. 92-100
-
-
Worm, F.1
Ienne, P.2
Thiran, P.3
De Micheli, G.4
-
36
-
-
0033704034
-
Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness
-
June
-
Zhang H., George V., Rabaey J. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on VLSI Systems June 2000, Vol. 8(No. 3):264-272.
-
(2000)
IEEE Transactions on VLSI Systems
, vol.8
, Issue.3
, pp. 264-272
-
-
Zhang, H.1
George, V.2
Rabaey, J.3
-
37
-
-
84882193260
-
-
http://public.itrs.net/.
-
-
-
-
38
-
-
0033886799
-
A Single Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP
-
March
-
Ackland B., et al. A Single Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP. IEEE Journal of Solid-State Circuits March 2000, Vol. 35(No. 3).
-
(2000)
IEEE Journal of Solid-State Circuits
, vol.35
, Issue.3
-
-
Ackland, B.1
-
40
-
-
0002681039
-
Raw Computation
-
August
-
Agrawal A. Raw Computation. Scientific American August 1999, Vol. 281(No. 2):44-47.
-
(1999)
Scientific American
, vol.281
, Issue.2
, pp. 44-47
-
-
Agrawal, A.1
-
44
-
-
0036149420
-
Networks on Chips: A New SoC Paradigm
-
January
-
Benini L., De Micheli G. Networks on Chips: A New SoC Paradigm. IEEE Computers January 2002, 70-78.
-
(2002)
IEEE Computers
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
45
-
-
1242309790
-
QNoC: QoS Architecture and Design Process for Network on Chip
-
February
-
Bolotin E., Cidon I., Ginosaur R., Kolodny A. QNoC: QoS Architecture and Design Process for Network on Chip. Journal of System Architecture February 2004, Vol. 50(No. 2-3):105-128.
-
(2004)
Journal of System Architecture
, vol.50
, Issue.2-3
, pp. 105-128
-
-
Bolotin, E.1
Cidon, I.2
Ginosaur, R.3
Kolodny, A.4
-
46
-
-
21244433563
-
Spidergon: A Novel On-Chip Communication network
-
Coppola M., Locatelli R., Maruccia G., Pieralisi L., Scandurra A. Spidergon: A Novel On-Chip Communication network. Proceedings of the 2004 International Symposium on System on Chip 2004, 15.
-
(2004)
Proceedings of the 2004 International Symposium on System on Chip
, pp. 15
-
-
Coppola, M.1
Locatelli, R.2
Maruccia, G.3
Pieralisi, L.4
Scandurra, A.5
-
47
-
-
0032597714
-
An Efficient Bus Architecture for System-on-Chip Design
-
Cordan B. An Efficient Bus Architecture for System-on-Chip Design. IEEE Custom Integrated Circuits Conference 1999, 623-626.
-
(1999)
IEEE Custom Integrated Circuits Conference
, pp. 623-626
-
-
Cordan, B.1
-
48
-
-
0344981523
-
Xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for MultiProcessor SoCs
-
Dall'Osso M., Biccari G., Giovannini L., Bertozzi D., Benini L. Xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for MultiProcessor SoCs. International Conference on Computer Design 2003, 536-539.
-
(2003)
International Conference on Computer Design
, pp. 536-539
-
-
Dall'Osso, M.1
Biccari, G.2
Giovannini, L.3
Bertozzi, D.4
Benini, L.5
-
49
-
-
62349086227
-
Express Cubes: Improving the Performance of K-ary n-cube Inter-connection Networks
-
September
-
Dally W. Express Cubes: Improving the Performance of K-ary n-cube Inter-connection Networks. IEEE Transactions on Computers September 1991, Vol. 40(No. 9):1016-1023.
-
(1991)
IEEE Transactions on Computers
, vol.40
, Issue.9
, pp. 1016-1023
-
-
Dally, W.1
-
52
-
-
0012441737
-
-
Morgan Kaufmann, San Francisco, CA
-
Duato J., Yalamanchili S., Ni L. Interconnection Networks: An Engineering Approach 2003, Morgan Kaufmann, San Francisco, CA.
-
(2003)
Interconnection Networks: An Engineering Approach
-
-
Duato, J.1
Yalamanchili, S.2
Ni, L.3
-
53
-
-
27344448207
-
A Design Flow for Application Specific Networks on Chip with Guaranteed Performance to Accelerate SoC Design and Verification
-
March
-
Goossens K., Dielisssen J., Gangwal O., Pestana S., Radulescu A., Rijkema E. A Design Flow for Application Specific Networks on Chip with Guaranteed Performance to Accelerate SoC Design and Verification. DATE-Proceedings of Design Automation Test in Europe March 2005, 1182-1187.
-
(2005)
DATE-Proceedings of Design Automation Test in Europe
, pp. 1182-1187
-
-
Goossens, K.1
Dielisssen, J.2
Gangwal, O.3
Pestana, S.4
Radulescu, A.5
Rijkema, E.6
-
54
-
-
84891434744
-
Interconnect and Memory Organization in SoCs for Advanced Set-Top Boxes and TV -Evolution, Analysis and Trends
-
Chapter 15, Kluwer, San Francisco, CA, J. Nurmi, H. Tenhunen, J. Isoahao, A. Jantcsh (Eds.)
-
Goossens K., Gangwal O., Roever J., Niranjan A. Interconnect and Memory Organization in SoCs for Advanced Set-Top Boxes and TV -Evolution, Analysis and Trends. Interconnect-Centric Design for Advanced SoC and NoC 2004, 399-423. Chapter 15, Kluwer, San Francisco, CA. J. Nurmi, H. Tenhunen, J. Isoahao, A. Jantcsh (Eds.).
-
(2004)
Interconnect-Centric Design for Advanced SoC and NoC
, pp. 399-423
-
-
Goossens, K.1
Gangwal, O.2
Roever, J.3
Niranjan, A.4
-
57
-
-
84948696213
-
A Network on Chip Architecture and Design Methodology
-
April
-
Kumar S., Jantsch A., Soininen J., Forsell M., Millberg M., Oberg J., Tiensyrj K., Hemani A. A Network on Chip Architecture and Design Methodology. Proceedings of IEEE Computer Society Annual Symposium on VLSI April 2002, 105-112.
-
(2002)
Proceedings of IEEE Computer Society Annual Symposium on VLSI
, pp. 105-112
-
-
Kumar, S.1
Jantsch, A.2
Soininen, J.3
Forsell, M.4
Millberg, M.5
Oberg, J.6
Tiensyrj, K.7
Hemani, A.8
-
58
-
-
0038645161
-
An 800 MHz Star-Connected On-chip Network for Application to Systems on a Chip
-
Lee S.-Y., Song S.-J., Lee K., Woo J.-H., Kim S.-E., Nam B.-G., Yoo H.-J. An 800 MHz Star-Connected On-chip Network for Application to Systems on a Chip. IEEE Solid-State Circuits Conference 2003, 468-469.
-
(2003)
IEEE Solid-State Circuits Conference
, pp. 468-469
-
-
Lee, S.-Y.1
Song, S.-J.2
Lee, K.3
Woo, J.-H.4
Kim, S.-E.5
Nam, B.-G.6
Yoo, H.-J.7
-
59
-
-
0022141776
-
Fat-Trees: Universal Networks for Hardware-Efficient Super-computing
-
October
-
Leiserson C. Fat-Trees: Universal Networks for Hardware-Efficient Super-computing. IEEE Transactions on Computers October 1985, Vol. 34(No. 10):892-901.
-
(1985)
IEEE Transactions on Computers
, vol.34
, Issue.10
, pp. 892-901
-
-
Leiserson, C.1
-
60
-
-
33646944677
-
An Application-Specific Design Methodology for STbus Crossbar Generation
-
Murali S., De Micheli G. An Application-Specific Design Methodology for STbus Crossbar Generation. DATE - Design, Automation and Test in Europe 2005, Vol. 2:1176-1181.
-
(2005)
DATE - Design, Automation and Test in Europe
, vol.2
, pp. 1176-1181
-
-
Murali, S.1
De Micheli, G.2
-
63
-
-
0032303326
-
On-chip Bus Structure for Custom Core Logic Design
-
Remaklus W. On-chip Bus Structure for Custom Core Logic Design. IEEE Wescon 1998, 7-14.
-
(1998)
IEEE Wescon
, pp. 7-14
-
-
Remaklus, W.1
-
67
-
-
0034841440
-
MicroNetwork-based integration for SOCs
-
Wingard D. MicroNetwork-based integration for SOCs. Design Automation Conference 2001, 673-677.
-
(2001)
Design Automation Conference
, pp. 673-677
-
-
Wingard, D.1
-
69
-
-
84882048040
-
-
http://www.ocpip.org/.
-
-
-
-
70
-
-
84882121867
-
-
http://www.sonicsinc.com.
-
-
-
-
71
-
-
84882175297
-
-
http://www.silistix.com.
-
-
-
-
72
-
-
84882090055
-
-
http://www.arm.com.
-
-
-
-
73
-
-
84882147729
-
-
http://www.arm.com/products/CPUs/ARM7TDMI.html.
-
-
-
-
74
-
-
0003479594
-
-
Addison-Wesley, Norwell, MA
-
Bakoglu H.B. Circuits, Interconnections, and Packaging for VLSI 1990, Addison-Wesley, Norwell, MA.
-
(1990)
Circuits, Interconnections, and Packaging for VLSI
-
-
Bakoglu, H.B.1
-
75
-
-
0042420599
-
Current-Mode Signaling in Deep Submicrometer Global Interconnects
-
June
-
Bashirullah R., et al. Current-Mode Signaling in Deep Submicrometer Global Interconnects. IEEE Transactions on VLSI Systems June 2003, Vol. 11(No. 3):406-417.
-
(2003)
IEEE Transactions on VLSI Systems
, vol.11
, Issue.3
, pp. 406-417
-
-
Bashirullah, R.1
-
76
-
-
0030644909
-
Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems
-
March
-
Benini L., et al. Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems. Proceedings of the Great Lakes Symposium on VLSI March 1997, 77-82.
-
(1997)
Proceedings of the Great Lakes Symposium on VLSI
, pp. 77-82
-
-
Benini, L.1
-
77
-
-
0026853678
-
A High-Speed Sensing Scheme for 1T Dynamic RAM's Utilizing the Clamped Bit-Line Sense Amplifier
-
April
-
Blalock T., et al. A High-Speed Sensing Scheme for 1T Dynamic RAM's Utilizing the Clamped Bit-Line Sense Amplifier. IEEE Journal of Solid-State Circuits April 1992, Vol. 27:618-625.
-
(1992)
IEEE Journal of Solid-State Circuits
, vol.27
, pp. 618-625
-
-
Blalock, T.1
-
78
-
-
0022783949
-
Transmission Line Models for Lossy Waveguide Interconnec-tions in VLSI
-
September
-
Brews J.R. Transmission Line Models for Lossy Waveguide Interconnec-tions in VLSI. IEEE Transactions on Electron Devices September 1986, Vol. ED-33(No. 9):1356-1365.
-
(1986)
IEEE Transactions on Electron Devices
, vol.ED-33
, Issue.9
, pp. 1356-1365
-
-
Brews, J.R.1
-
86
-
-
84882234474
-
Modeling Techniques for Energy-Efficient System-on-a-Chip Signaling
-
January
-
Dhaou I.B., Tenhunen H. Modeling Techniques for Energy-Efficient System-on-a-Chip Signaling. IEEE Circuits and Devices January 2003, Vol. 19(No. 1):8-17.
-
(2003)
IEEE Circuits and Devices
, vol.19
, Issue.1
, pp. 8-17
-
-
Dhaou, I.B.1
Tenhunen, H.2
-
87
-
-
0038005367
-
Current Mode, Low-Power, On-Chip Signaling in Deep-Submicron CMOS Technology
-
March
-
Dhaou I.B., et al. Current Mode, Low-Power, On-Chip Signaling in Deep-Submicron CMOS Technology. IEEE Transactions on Circuit and Systems-I March 2003, Vol. 50(No. 3):397-406.
-
(2003)
IEEE Transactions on Circuit and Systems-I
, vol.50
, Issue.3
, pp. 397-406
-
-
Dhaou, I.B.1
-
88
-
-
0036857244
-
A Fully Bypassed Six-Issue Integer Datapath and Register File on the Itanium-2 Microprocessor
-
November
-
Fetzer E.S., et al. A Fully Bypassed Six-Issue Integer Datapath and Register File on the Itanium-2 Microprocessor. IEEE Journal of Solid-State Circuits November 2002, Vol. 37(No. 11):1433-1440.
-
(2002)
IEEE Journal of Solid-State Circuits
, vol.37
, Issue.11
, pp. 1433-1440
-
-
Fetzer, E.S.1
-
90
-
-
0024629335
-
Modeling of Picosecond Pulse Propagation in Microstrip Interconnections on Integrated Circuits
-
March
-
Goossen K.W., Hammond R.B. Modeling of Picosecond Pulse Propagation in Microstrip Interconnections on Integrated Circuits. IEEE Transactions on Microwave Theory Technology March 1989, Vol. 37(No. 3):469-478.
-
(1989)
IEEE Transactions on Microwave Theory Technology
, vol.37
, Issue.3
, pp. 469-478
-
-
Goossen, K.W.1
Hammond, R.B.2
-
91
-
-
24144490066
-
Designing and Implementing a Fast Crossbar Scheduler
-
January-February
-
Gupta P., et al. Designing and Implementing a Fast Crossbar Scheduler. IEEE Micro January-February 1999, Vol. 19:20-28.
-
(1999)
IEEE Micro
, vol.19
, pp. 20-28
-
-
Gupta, P.1
-
92
-
-
0029289214
-
Data-Dependent Logic Swing Internal Bus Architecture for Ultralow-Power LSI's
-
April
-
Hiraki M., et al. Data-Dependent Logic Swing Internal Bus Architecture for Ultralow-Power LSI's. IEEE Journal of Solid-State Circuits April 1995, Vol. 30:397-402.
-
(1995)
IEEE Journal of Solid-State Circuits
, vol.30
, pp. 397-402
-
-
Hiraki, M.1
-
93
-
-
0141538149
-
Efficient On-Chip Global Interconnects
-
June 2003, IEEE Symposium on VLSI Circuits
-
Ho R., et al. Efficient On-Chip Global Interconnects. Digest of Technical Papers 2003, 271-274. June 2003.
-
(2003)
Digest of Technical Papers
, pp. 271-274
-
-
Ho, R.1
-
94
-
-
2442653861
-
How Scaling Will Change Processor Architecture
-
February 2004, International Solid-State Circuits Conference
-
Horowitz M., Dally W. How Scaling Will Change Processor Architecture. Digest of Technical Papers 2004, 132-133. February 2004.
-
(2004)
Digest of Technical Papers
, pp. 132-133
-
-
Horowitz, M.1
Dally, W.2
-
95
-
-
84882197443
-
-
http://public.itrs.net/files/2003ITRS/Home2003.htm.
-
-
-
-
96
-
-
0030121501
-
A Current Direction Sense Technique for Multiport SRAM
-
April
-
Izumikawa M., et al. A Current Direction Sense Technique for Multiport SRAM. IEEE Journal of Solid-State Circuits April 1996, Vol. 31(No. 4):546-551.
-
(1996)
IEEE Journal of Solid-State Circuits
, vol.31
, Issue.4
, pp. 546-551
-
-
Izumikawa, M.1
-
97
-
-
0003622532
-
-
Prentice-Hall, Cambridge, UK
-
Johnson H.W., Graham M. High-Speed Digital Design, A Handbook of Black Magic 1993, Prentice-Hall, Cambridge, UK.
-
(1993)
High-Speed Digital Design, A Handbook of Black Magic
-
-
Johnson, H.W.1
Graham, M.2
-
98
-
-
27844556591
-
Near Speed-of-Light On-Chip Interconnects Using Pulsed Current-Mode Signaling
-
Digest of Technical Papers
-
Jose A.P., et al. Near Speed-of-Light On-Chip Interconnects Using Pulsed Current-Mode Signaling. Symposium on VLSI Circuits 2005, 108-111. Digest of Technical Papers.
-
(2005)
Symposium on VLSI Circuits
, pp. 108-111
-
-
Jose, A.P.1
-
99
-
-
28144454462
-
40 Gb/s 4:1 MUX/1:4 DEMUX in 90 nm Standard CMOS
-
International Solid-State Circuits Conference
-
Kanda K., et al. 40 Gb/s 4:1 MUX/1:4 DEMUX in 90 nm Standard CMOS. Digest of Technical Papers 2005, 152-153.
-
(2005)
Digest of Technical Papers
, pp. 152-153
-
-
Kanda, K.1
-
101
-
-
0010917424
-
Interconnect and Noise Immunity Design for the Pentium 4 Processor
-
Ql
-
Kumar R. Interconnect and Noise Immunity Design for the Pentium 4 Processor. Intel Technology Journal 2001, Vol. 5. Ql. http://www.developer.intel.com/technology/itj/archive/2001.htm.
-
(2001)
Intel Technology Journal
, vol.5
-
-
Kumar, R.1
-
102
-
-
34548820226
-
A High-Speed and Lightweight On-Chip Crossbar Scheduler for On-Chip Interconnection Networks
-
Lee K., et al. A High-Speed and Lightweight On-Chip Crossbar Scheduler for On-Chip Interconnection Networks. Proceedings of the IEEE European Solid-State Circuits Conference 2003, 453-456.
-
(2003)
Proceedings of the IEEE European Solid-State Circuits Conference
, pp. 453-456
-
-
Lee, K.1
-
104
-
-
2442698800
-
A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform
-
February 2004, IEEE International Solid-State Circuits Conference
-
Lee K., et al. A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform. Digest of Technical Papers 2004, 152-153. February 2004.
-
(2004)
Digest of Technical Papers
, pp. 152-153
-
-
Lee, K.1
-
105
-
-
16244392403
-
SILENT: Serialized Low Energy Transmission Coding for On-Chip Interconnection Networks
-
Lee K., et al. SILENT: Serialized Low Energy Transmission Coding for On-Chip Interconnection Networks. Proceedings of the International Conference on Computer Aided Design 2004, 448-451.
-
(2004)
Proceedings of the International Conference on Computer Aided Design
, pp. 448-451
-
-
Lee, K.1
-
106
-
-
33645011974
-
Low-Power Network-on-Chip for High-Performance SoC Design
-
Lee K., et al. Low-Power Network-on-Chip for High-Performance SoC Design. IEEE Transactions on VLSI Systems 2006, 148-160.
-
(2006)
IEEE Transactions on VLSI Systems
, pp. 148-160
-
-
Lee, K.1
-
107
-
-
0021472075
-
An Analysis of Interconnect Aline Capacitance and Coupling for VLSI Circuits
-
Lewis E.T. An Analysis of Interconnect Aline Capacitance and Coupling for VLSI Circuits. Solid-State Electronics 1994, Vol. 27(No. 8/9):741-749.
-
(1994)
Solid-State Electronics
, vol.27
, Issue.8-9
, pp. 741-749
-
-
Lewis, E.T.1
-
109
-
-
0035058593
-
Elastic Interconnects: Repeater-Inserted Long Wiring Capable of Compressing and Decompressing Data
-
International Solid-State Circuits Conference
-
Mizuno M., et al. Elastic Interconnects: Repeater-Inserted Long Wiring Capable of Compressing and Decompressing Data. Digest of Technical Papers 2001, 346-347.
-
(2001)
Digest of Technical Papers
, pp. 346-347
-
-
Mizuno, M.1
-
112
-
-
0027575799
-
Sub-l-V Swing Internal Bus Architecture for Future Low-Power ULSI's
-
April
-
Nakagome Y., et al. Sub-l-V Swing Internal Bus Architecture for Future Low-Power ULSI's. IEEE Journal of Solid-State Circuits April 1993, Vol. 28:414-419.
-
(1993)
IEEE Journal of Solid-State Circuits
, vol.28
, pp. 414-419
-
-
Nakagome, Y.1
-
114
-
-
0032628047
-
A Coding Framework for Low-Power Address and Data Busses
-
June
-
Ramprasad S., et al. A Coding Framework for Low-Power Address and Data Busses. IEEE Transactions on VLSI Systems June 1999, Vol. 7:212-221.
-
(1999)
IEEE Transactions on VLSI Systems
, vol.7
, pp. 212-221
-
-
Ramprasad, S.1
-
115
-
-
0020704286
-
Simple Formulas for Two- and Three-Dimensional Capacitances
-
February
-
Sakurai T., Tamura K. Simple Formulas for Two- and Three-Dimensional Capacitances. IEEE Transactions on Electron Devices February 1983, Vol. ED-30(No. 2):183-185.
-
(1983)
IEEE Transactions on Electron Devices
, vol.ED-30
, Issue.2
, pp. 183-185
-
-
Sakurai, T.1
Tamura, K.2
-
116
-
-
0026141225
-
Current-Mode Techniques for High-Speed VLSI Circuits with Application to Current Sense Amplifier for CMOS SRAM s
-
April
-
Seevinck E., et al. Current-Mode Techniques for High-Speed VLSI Circuits with Application to Current Sense Amplifier for CMOS SRAM s. IEEE Journal of Solid-State Circuits April 1991, Vol. 26:525-536.
-
(1991)
IEEE Journal of Solid-State Circuits
, vol.26
, pp. 525-536
-
-
Seevinck, E.1
-
117
-
-
0035472993
-
Narrow Bus Encoding for Low-Power DSP Systems
-
October
-
Shin Y., et al. Narrow Bus Encoding for Low-Power DSP Systems. IEEE Transactions on VLSI Systems October 2001, Vol. 9:656-660.
-
(2001)
IEEE Transactions on VLSI Systems
, vol.9
, pp. 656-660
-
-
Shin, Y.1
-
120
-
-
0038645161
-
An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip
-
IEEE International Solid-State Circuits Conference, February
-
Lee S.-J., et al. An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip. Digest of Technical Papers February 2003, 468-469.
-
(2003)
Digest of Technical Papers
, pp. 468-469
-
-
Lee, S.-J.1
-
121
-
-
22244486343
-
Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications
-
June
-
Lee S.-J., et al. Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications. IEEE Transactions on Circuits and Systems II June 2005, Vol. 52:308-312.
-
(2005)
IEEE Transactions on Circuits and Systems II
, vol.52
, pp. 308-312
-
-
Lee, S.-J.1
-
122
-
-
27344440896
-
Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
-
June 2005, IEEE Symposium on VLSI Circuits
-
Lee S.-J., et al. Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme. Digest of Technical Papers 2005, 104-107. June 2005.
-
(2005)
Digest of Technical Papers
, pp. 104-107
-
-
Lee, S.-J.1
-
123
-
-
35048834531
-
Bus-Invert Coding for Low-Power I/O
-
March
-
Stan M.R., et al. Bus-Invert Coding for Low-Power I/O. IEEE Transactions on VLSI Systems March 1995, Vol. 3:49-58.
-
(1995)
IEEE Transactions on VLSI Systems
, vol.3
, pp. 49-58
-
-
Stan, M.R.1
-
124
-
-
0035392122
-
Optimum Voltage Swing on On-Chip and Off-Chip Interconnect
-
July
-
Svensson C. Optimum Voltage Swing on On-Chip and Off-Chip Interconnect. IEEE Journal of Solid-State Circuits July 2001, Vol. 36:1108-1112.
-
(2001)
IEEE Journal of Solid-State Circuits
, vol.36
, pp. 1108-1112
-
-
Svensson, C.1
-
129
-
-
13144293111
-
A Robust Self-Calibrating Transmission Scheme for On-Chip Networks
-
January
-
Worm F., et al. A Robust Self-Calibrating Transmission Scheme for On-Chip Networks. IEEE Transactions on VLSI Systems January 2005, Vol. 13:126-139.
-
(2005)
IEEE Transactions on VLSI Systems
, vol.13
, pp. 126-139
-
-
Worm, F.1
-
130
-
-
0029289258
-
An Asymptotically Zero Power Charge-Recycling Bus Architecture for Battery-Operated Ultrahigh Data Rate ULSI's
-
April
-
Yamauchi H., et al. An Asymptotically Zero Power Charge-Recycling Bus Architecture for Battery-Operated Ultrahigh Data Rate ULSI's. IEEE Journal of Solid-State Circuits April 1995, Vol. 30:423-431.
-
(1995)
IEEE Journal of Solid-State Circuits
, vol.30
, pp. 423-431
-
-
Yamauchi, H.1
-
131
-
-
0033704034
-
Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness
-
June
-
Zhang H., et al. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on VLSI Systems June 2000, Vol. 8:264-272.
-
(2000)
IEEE Transactions on VLSI Systems
, vol.8
, pp. 264-272
-
-
Zhang, H.1
-
135
-
-
0031683754
-
Analysis, Reduction and Avoidance of Crosstalk on VLSI Chips
-
Stohr T., Alt H., Hetzel A., Koehl J. Analysis, Reduction and Avoidance of Crosstalk on VLSI Chips. Proceedings of the International Symposiumm Physical Design 1998, 211-218.
-
(1998)
Proceedings of the International Symposiumm Physical Design
, pp. 211-218
-
-
Stohr, T.1
Alt, H.2
Hetzel, A.3
Koehl, J.4
-
139
-
-
20444504622
-
Crosstalk- and Performance-Driven Multilevel Full-Chip Routing
-
June
-
Ho T.Y., Chang Y.W., Chen S.J., Lee D.T. Crosstalk- and Performance-Driven Multilevel Full-Chip Routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems June 2005, Vol. 24(No. 6):869-878.
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.6
, pp. 869-878
-
-
Ho, T.Y.1
Chang, Y.W.2
Chen, S.J.3
Lee, D.T.4
-
142
-
-
0032639195
-
Weight-Based Codes and their Applications to Concurrent Error Detection of Multilevel Circuits
-
Das D., Touba N. Weight-Based Codes and their Applications to Concurrent Error Detection of Multilevel Circuits. Proceedings of the VLSI Test Symposium 1999, 370-376.
-
(1999)
Proceedings of the VLSI Test Symposium
, pp. 370-376
-
-
Das, D.1
Touba, N.2
-
143
-
-
84893712777
-
Optimization of Error Detecting Codes for the Detection of Crosstalk Originated Errors
-
March
-
Favalli M., Metra C. Optimization of Error Detecting Codes for the Detection of Crosstalk Originated Errors. Proceedings of the DATE March 2001, 290-296.
-
(2001)
Proceedings of the DATE
, pp. 290-296
-
-
Favalli, M.1
Metra, C.2
-
148
-
-
0033115380
-
Nanoscale CMOS
-
April
-
Wong H.P., Frank D.J., Solomon P.M., Wann C.H.J., Welser J.J. Nanoscale CMOS. Proceedings of the IEEE April 1999, 537-570. Vol. 87, No. 4.
-
(1999)
Proceedings of the IEEE
, vol.87
, Issue.4
, pp. 537-570
-
-
Wong, H.P.1
Frank, D.J.2
Solomon, P.M.3
Wann, C.H.J.4
Welser, J.J.5
-
151
-
-
0030246415
-
Switching Codes for Delta-I Noise Reduction
-
September
-
Chen C.L., Curran B.W. Switching Codes for Delta-I Noise Reduction. IEEE Transaction on Computers September 1996, 1017-1021.
-
(1996)
IEEE Transaction on Computers
, pp. 1017-1021
-
-
Chen, C.L.1
Curran, B.W.2
-
152
-
-
0038645647
-
No Exponential is Forever: But 'Forever' Can Be Delayed!
-
Moore G.E. No Exponential is Forever: But 'Forever' Can Be Delayed!. Proceedings of the ISSCC 2003, Vol. 1:20-23.
-
(2003)
Proceedings of the ISSCC
, vol.1
, pp. 20-23
-
-
Moore, G.E.1
-
153
-
-
0034245046
-
Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise
-
August
-
Hedge R., Shanbhag N.R. Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise. IEEE Transactions on - VLSI Systems August 2000, Vol. 8(No. 4):379-391.
-
(2000)
IEEE Transactions on - VLSI Systems
, vol.8
, Issue.4
, pp. 379-391
-
-
Hedge, R.1
Shanbhag, N.R.2
-
157
-
-
23744468720
-
Coding for System-on-Chip Networks: A Unified Framework
-
June
-
Sridhara S.R., Shanbhag N.R. Coding for System-on-Chip Networks: A Unified Framework. IEEE Transactions on VLSI Systems June 2005, Vol. 13(No. 6):655-667.
-
(2005)
IEEE Transactions on VLSI Systems
, vol.13
, Issue.6
, pp. 655-667
-
-
Sridhara, S.R.1
Shanbhag, N.R.2
-
159
-
-
10444240445
-
Quality-of-Service and Error Control Techniques for Mesh-Based Network-on-Chip Architectures
-
Vellanki P., Banerjee N., Chatha K.S. Quality-of-Service and Error Control Techniques for Mesh-Based Network-on-Chip Architectures. INTEGRATION, the VLSI Journal 2005, Vol. 38:353-382.
-
(2005)
INTEGRATION, the VLSI Journal
, vol.38
, pp. 353-382
-
-
Vellanki, P.1
Banerjee, N.2
Chatha, K.S.3
-
161
-
-
1142287741
-
A Fault Model Notation and Error-Control Scheme for Switch-to-Switch Buses in a Network-on-Chip
-
Zimmer H., Jantsch A. A Fault Model Notation and Error-Control Scheme for Switch-to-Switch Buses in a Network-on-Chip. CODES ISSS 2003 2003, 188-193.
-
(2003)
CODES ISSS 2003
, pp. 188-193
-
-
Zimmer, H.1
Jantsch, A.2
-
171
-
-
33646915999
-
MultiNoC: A Multiprocessing System Enabled by a Network on Chip
-
Mello A., Moeller L., Calazans N., Moraes F. MultiNoC: A Multiprocessing System Enabled by a Network on Chip. Design, Automation and Test in Europe (DATE'05) 2005, Vol. 3:234-239.
-
(2005)
Design, Automation and Test in Europe (DATE'05)
, vol.3
, pp. 234-239
-
-
Mello, A.1
Moeller, L.2
Calazans, N.3
Moraes, F.4
-
172
-
-
1242309790
-
QNoC: QoS Architecture and Design Process for Network on Chip
-
February
-
Bolotin E., Cidon I., Ginosar R., Kolodny A. QNoC: QoS Architecture and Design Process for Network on Chip. Special issue on Networks on Chip, The Journal of Systems Architecture February 2004, Vol. 50(No. 2-3):105-128.
-
(2004)
Special issue on Networks on Chip, The Journal of Systems Architecture
, vol.50
, Issue.2-3
, pp. 105-128
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
173
-
-
0345358582
-
Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip
-
No. 5
-
Rijpkema E., Goossens K., Radulescu A., Dielissen J., van Meerbergen J., Wielage P., Waterlander E. Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip. IEE Proceedings: Computers and Digital Techniques 2003, 294-302. Vol. 150, No. 5.
-
(2003)
IEE Proceedings: Computers and Digital Techniques
, vol.150
, pp. 294-302
-
-
Rijpkema, E.1
Goossens, K.2
Radulescu, A.3
Dielissen, J.4
van Meerbergen, J.5
Wielage, P.6
Waterlander, E.7
-
174
-
-
84962752543
-
Coding Scheme for Low Power Consumption Fault Tolerant Bus
-
Rossi D., van Dijk V.E.S., Kleihorst R.P., Nieuwland A.H., Metra C. Coding Scheme for Low Power Consumption Fault Tolerant Bus. IEEE Proceedings of the International On Line Testing Workshop 2002, 8-12.
-
(2002)
IEEE Proceedings of the International On Line Testing Workshop
, pp. 8-12
-
-
Rossi, D.1
van Dijk, V.E.S.2
Kleihorst, R.P.3
Nieuwland, A.H.4
Metra, C.5
-
175
-
-
84944029675
-
Power Consumption of Fault-Tolerant Bus: The Active Elements
-
Rossi D., van Dijk V.E.S., Kleihorst R.P., Nieuwland A.K., Metra C. Power Consumption of Fault-Tolerant Bus: The Active Elements. Proceedings of the IEEE International On Line Testing Symposium 2003, 61-67.
-
(2003)
Proceedings of the IEEE International On Line Testing Symposium
, pp. 61-67
-
-
Rossi, D.1
van Dijk, V.E.S.2
Kleihorst, R.P.3
Nieuwland, A.K.4
Metra, C.5
-
176
-
-
10644287744
-
Impact of ECCs on Simultaneously Switching Output Noise for On-Chip Busses of High Reliability Systems
-
Rossi D., Muccio A., Nieuwland A.K., Katoch A., Metra C. Impact of ECCs on Simultaneously Switching Output Noise for On-Chip Busses of High Reliability Systems. Proceedings of the 10th IEEE International On-Line Testing Symposium 2004, 135-140.
-
(2004)
Proceedings of the 10th IEEE International On-Line Testing Symposium
, pp. 135-140
-
-
Rossi, D.1
Muccio, A.2
Nieuwland, A.K.3
Katoch, A.4
Metra, C.5
-
180
-
-
0345666024
-
Interconnect Modelling and Optimization in Deep Submicron Technologies
-
Massachusetts Institute of Technology, Norwell, MA, May
-
Sotiriadis P.P. Interconnect Modelling and Optimization in Deep Submicron Technologies. Ph.D. dissertation May 2002, Massachusetts Institute of Technology, Norwell, MA.
-
(2002)
Ph.D. dissertation
-
-
Sotiriadis, P.P.1
-
186
-
-
0034483997
-
Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design
-
Kim K., Baek K., Shanbhag N., Liu C., Kang S. Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design. Proceedings of the ICCAD'00 2000, 318-321.
-
(2000)
Proceedings of the ICCAD'00
, pp. 318-321
-
-
Kim, K.1
Baek, K.2
Shanbhag, N.3
Liu, C.4
Kang, S.5
-
188
-
-
1842582489
-
Making Typical Silicon Matter with Razor
-
March
-
Austin T., Blaauw D., Mudge T., Flautner K. Making Typical Silicon Matter with Razor. IEEE Computer March 2004, Vol. 37(No. 3):57-65.
-
(2004)
IEEE Computer
, vol.37
, Issue.3
, pp. 57-65
-
-
Austin, T.1
Blaauw, D.2
Mudge, T.3
Flautner, K.4
-
189
-
-
13144293111
-
Transmission Scheme for On-Chip Networks
-
January
-
Worm F., Ienne P., Thiran P., De Micheli G. Transmission Scheme for On-Chip Networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems January 2005, Vol. 13(No. 1):126-139.
-
(2005)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.13
, Issue.1
, pp. 126-139
-
-
Worm, F.1
Ienne, P.2
Thiran, P.3
De Micheli, G.4
-
192
-
-
27644599858
-
Micro-Modem -Reliability Solution for NoC Communications
-
Morgenshtein A., Bolotin E., Cidon I., Kolodny A., Ginosar R. Micro-Modem -Reliability Solution for NoC Communications. ICECS 2004, 483-486.
-
(2004)
ICECS
, pp. 483-486
-
-
Morgenshtein, A.1
Bolotin, E.2
Cidon, I.3
Kolodny, A.4
Ginosar, R.5
-
193
-
-
84882088841
-
The Nostrum Protocol Stack and Suggested Services Provided by the Nostrum Backbone
-
LECS, IMIT, KTH, Cambridge
-
Millberg M. The Nostrum Protocol Stack and Suggested Services Provided by the Nostrum Backbone. Internal Report in Electronic System Design TRITA-IMIT-LECSR02:01 2003, LECS, IMIT, KTH, Cambridge.
-
(2003)
Internal Report in Electronic System Design TRITA-IMIT-LECSR02:01
-
-
Millberg, M.1
-
194
-
-
27344440896
-
Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
-
June
-
Lee S.J., Kim K., Kim H., Cho N., Yoo H.J. Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme. International Symposium on VLSI Circuits (VLSI) June 2005, 104-107.
-
(2005)
International Symposium on VLSI Circuits (VLSI)
, pp. 104-107
-
-
Lee, S.J.1
Kim, K.2
Kim, H.3
Cho, N.4
Yoo, H.J.5
-
198
-
-
4544376708
-
Fault Tolerant Algorithm for Network-on-Chip Interconnect
-
Pirretti M., Link G.M., Brooks R., Vijaykrishnan N., Kandemir M., Irwin M.J. Fault Tolerant Algorithm for Network-on-Chip Interconnect. Proceedings of the IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI Systems Design ISVLSI'04 2004, 46-51.
-
(2004)
Proceedings of the IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI Systems Design ISVLSI'04
, pp. 46-51
-
-
Pirretti, M.1
Link, G.M.2
Brooks, R.3
Vijaykrishnan, N.4
Kandemir, M.5
Irwin, M.J.6
-
199
-
-
27344448860
-
Analysis of Error Recovery Schemes for Networks on Chips
-
Murali S., Theocharides T., Vijaykrishnan N., Irwin M.J., Benini L., De Micheli G. Analysis of Error Recovery Schemes for Networks on Chips. IEEE Design and Test of Computers 2005, 434-442.
-
(2005)
IEEE Design and Test of Computers
, pp. 434-442
-
-
Murali, S.1
Theocharides, T.2
Vijaykrishnan, N.3
Irwin, M.J.4
Benini, L.5
De Micheli, G.6
-
201
-
-
27344431958
-
Xpipes Lite: A Synthesis Oriented Design Library For Networks on Chips
-
March
-
Stergiou S., Angiolini F., Carta S., Raffo L., Bertozzi D., Benini L., De Micheli G. Xpipes Lite: A Synthesis Oriented Design Library For Networks on Chips. Proceedings of the Conference on Design, Automation and Test in Europe March 2005, Vol. 2:1188-1193.
-
(2005)
Proceedings of the Conference on Design, Automation and Test in Europe
, vol.2
, pp. 1188-1193
-
-
Stergiou, S.1
Angiolini, F.2
Carta, S.3
Raffo, L.4
Bertozzi, D.5
Benini, L.6
De Micheli, G.7
-
203
-
-
0038155576
-
A 500-Mb/s Soft-Output Viterbi Decoder
-
July
-
Yeo E., Augsburger S.A., Davis W.R., Nikolic B. A 500-Mb/s Soft-Output Viterbi Decoder. IEEE Journal of Solid-State Circuits July 2003, Vol. 38(No. 7):1234-1241.
-
(2003)
IEEE Journal of Solid-State Circuits
, vol.38
, Issue.7
, pp. 1234-1241
-
-
Yeo, E.1
Augsburger, S.A.2
Davis, W.R.3
Nikolic, B.4
-
204
-
-
84882166580
-
Xpipes Network on Chip: A Synthesis Perspective
-
12-16 September, Malaga (Spain)
-
Angiolini F., Bertozzi D., Raffo L., Meloni P., Carta S., Benini L. Xpipes Network on Chip: A Synthesis Perspective. Parallel Computing Minisymposium 12-16 September 2005, Malaga (Spain).
-
(2005)
Parallel Computing Minisymposium
-
-
Angiolini, F.1
Bertozzi, D.2
Raffo, L.3
Meloni, P.4
Carta, S.5
Benini, L.6
-
205
-
-
13244299134
-
Error-Detection Codes: Algorithms and Fast Implementation
-
January
-
Nguyen G.D. Error-Detection Codes: Algorithms and Fast Implementation. IEEE Transactions on Computers January 2005, Vol. 54(No. 1):1-11.
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.1
, pp. 1-11
-
-
Nguyen, G.D.1
-
206
-
-
0025497632
-
Parallel CRC Generation
-
October
-
Albertango G., Sisto R. Parallel CRC Generation. IEEE Micro October 1990, Vol. 10(No. 5):63-71.
-
(1990)
IEEE Micro
, vol.10
, Issue.5
, pp. 63-71
-
-
Albertango, G.1
Sisto, R.2
-
208
-
-
0033079279
-
A High-Performance CMOS 32-Bit Parallel CRC Engine
-
February
-
Hobson R.F., Cheung K.L A High-Performance CMOS 32-Bit Parallel CRC Engine. IEEE Journal Solid State Circuits February 1999, Vol. 34(No. 2):233-235.
-
(1999)
IEEE Journal Solid State Circuits
, vol.34
, Issue.2
, pp. 233-235
-
-
Hobson, R.F.1
Cheung, K.L.2
-
210
-
-
84882104121
-
-
http://www.st.com/stonline/prodpres/dedicate/soc/cores/stbus.htm.
-
-
-
-
211
-
-
51049096193
-
Networks on Chip: A New Paradigm for Component-Based MPSoC Design
-
Morgan Kaufmann, Stockholm, Sweden, A. Jerrraya, W. Wolf (Eds.)
-
Benini L., De Micheli G. Networks on Chip: A New Paradigm for Component-Based MPSoC Design. Multiprocessors Systems on Chips 2004, 49-80. Morgan Kaufmann, Stockholm, Sweden. A. Jerrraya, W. Wolf (Eds.).
-
(2004)
Multiprocessors Systems on Chips
, pp. 49-80
-
-
Benini, L.1
De Micheli, G.2
-
214
-
-
0032218996
-
Centralized Contention Resolution Schemes for a Larger-Capacity Optical ATM Switch
-
Chao H.J., Park J.S. Centralized Contention Resolution Schemes for a Larger-Capacity Optical ATM Switch. Proceedings of the IEEE ATM Workshop 1998, 11-16.
-
(1998)
Proceedings of the IEEE ATM Workshop
, pp. 11-16
-
-
Chao, H.J.1
Park, J.S.2
-
216
-
-
84882064603
-
-
AMBA AHB Specification, Rev.2.0, ARM
-
AMBA AHB Specification, Rev.2.0, ARM, 1999.
-
(1999)
-
-
-
217
-
-
0027612155
-
Optimization of Cyclic Redundancy-Check Codes with 24 and 32 Parity Bits
-
June
-
Castagnoli G., Brauer S., Herrmann M. Optimization of Cyclic Redundancy-Check Codes with 24 and 32 Parity Bits. IEEE Transactions on Communications June 1993, Vol. 41(No. 6):883-892.
-
(1993)
IEEE Transactions on Communications
, vol.41
, Issue.6
, pp. 883-892
-
-
Castagnoli, G.1
Brauer, S.2
Herrmann, M.3
-
219
-
-
0024056648
-
A Tutorial on CRC Computations
-
August
-
Ramabadran T.V., Gaitonde S.S. A Tutorial on CRC Computations. IEEE Micro August 1988, Vol. 8(No. 4):62-75.
-
(1988)
IEEE Micro
, vol.8
, Issue.4
, pp. 62-75
-
-
Ramabadran, T.V.1
Gaitonde, S.S.2
-
220
-
-
84859967419
-
SPIN: A Scalable, Packet Switched, On-Chip Micro-Network
-
Adriahantenaina A., Charlery H., Greiner A., Mortiez L., Zeferino C.A. SPIN: A Scalable, Packet Switched, On-Chip Micro-Network. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) 2003.
-
(2003)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
-
-
Adriahantenaina, A.1
Charlery, H.2
Greiner, A.3
Mortiez, L.4
Zeferino, C.A.5
-
221
-
-
84882154854
-
-
http://www.arm.com/products/solutions/axi_spec.html.
-
-
-
-
222
-
-
0003487731
-
-
ATM Forum, July, Prentice Hall, San Francisco, CA, Version 3.1.
-
ATM Forum ATM User-Network Interface Specification July 1994, Prentice Hall, San Francisco, CA, Version 3.1.
-
(1994)
ATM User-Network Interface Specification
-
-
-
224
-
-
4043150092
-
Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip
-
Bertozzi D., Benini L. Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE Circuits and Systems Magazine 2004, Vol. 4(No. 2):18-31.
-
(2004)
IEEE Circuits and Systems Magazine
, vol.4
, Issue.2
, pp. 18-31
-
-
Bertozzi, D.1
Benini, L.2
-
225
-
-
33745794207
-
The MANGO Clockless Network-on-Chip: Concepts and Implementation
-
Informatics and Mathematical Modelling, Technical University of Denmark, DTU, Upper Saddle River, NJ
-
Bjerregaard T. The MANGO Clockless Network-on-Chip: Concepts and Implementation. Ph.D. thesis 2006, Informatics and Mathematical Modelling, Technical University of Denmark, DTU, Upper Saddle River, NJ.
-
(2006)
Ph.D. thesis
-
-
Bjerregaard, T.1
-
230
-
-
0002004104
-
On Interval Routing Schemes and Treewidth
-
November 25
-
Bodlaender H.L., van Leeuwen J., Tan R., Thilikos D. On Interval Routing Schemes and Treewidth. Information and Computation November 25, 1997, Vol. 139(No. 1):92-109.
-
(1997)
Information and Computation
, vol.139
, Issue.1
, pp. 92-109
-
-
Bodlaender, H.L.1
van Leeuwen, J.2
Tan, R.3
Thilikos, D.4
-
231
-
-
9544239365
-
Cost considerations in Network on Chip
-
October
-
Bolotin E., Cidon I., Ginosar R., Kolodny A. Cost considerations in Network on Chip. Integration, the VLSI Journal October 2004, Vol. 38(No. 1):19-42.
-
(2004)
Integration, the VLSI Journal
, vol.38
, Issue.1
, pp. 19-42
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
232
-
-
1242309790
-
QNoC: QoS Architecture and Design Process for Network on Chip
-
Special issue on Networks on Chip, February
-
Bolotin E., Cidon I., Ginosar R., Kolodny A. QNoC: QoS Architecture and Design Process for Network on Chip. Journal of Systems Architecture February 2004, Vol. 50(No. 2-3):105-128. Special issue on Networks on Chip.
-
(2004)
Journal of Systems Architecture
, vol.50
, Issue.2-3
, pp. 105-128
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
233
-
-
34548354410
-
Efficient Routing in Irregular Topology Noes
-
September
-
Bolotin E., Cidon I., Ginosar R., Kolodny A. Efficient Routing in Irregular Topology Noes. Technion, CCIT Report September 2005, Vol. 554(No. 5).
-
(2005)
Technion, CCIT Report
, vol.554
, Issue.5
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
235
-
-
84882134268
-
Integrated Services in the Internet Architecture: An Overview
-
RFC 1633, Available at, June
-
Braden R., Clark D., Shenker S. Integrated Services in the Internet Architecture: An Overview. Internet Drafts June 1996, RFC 1633, Available at. http://www.rfc_archive.org/getrfc.phprfc=1633.
-
(1996)
Internet Drafts
-
-
Braden, R.1
Clark, D.2
Shenker, S.3
-
238
-
-
0023132595
-
Local Distributed Deadlock Detection by Cycle Detection and Clustering
-
Cidon I., Jaffe F.M., Sidi M. Local Distributed Deadlock Detection by Cycle Detection and Clustering. IEEE Transactions on Software Engineering 1987, Vol. 13(No. 1):3-14.
-
(1987)
IEEE Transactions on Software Engineering
, vol.13
, Issue.1
, pp. 3-14
-
-
Cidon, I.1
Jaffe, F.M.2
Sidi, M.3
-
239
-
-
0023452968
-
Distributed Store-and-Forward Deadlock Detection and Resolution Algorithms
-
Cidon I., Jaffe J.M., Sidi M. Distributed Store-and-Forward Deadlock Detection and Resolution Algorithms. IEEE Transactions on Communication 1987, Vol. 35(No. 11):1139-1145.
-
(1987)
IEEE Transactions on Communication
, vol.35
, Issue.11
, pp. 1139-1145
-
-
Cidon, I.1
Jaffe, J.M.2
Sidi, M.3
-
240
-
-
67649924350
-
Zooming in on Network on Chip Architectures
-
December
-
Cidon I., Keidar I. Zooming in on Network on Chip Architectures. Technion, CCIT Report December 2005, Vol. 565(No. 5).
-
(2005)
Technion, CCIT Report
, vol.565
, Issue.5
-
-
Cidon, I.1
Keidar, I.2
-
241
-
-
33745183091
-
An Event-Based Network-on-Chip Monitoring Service
-
HLDVT'04 Special Issue on Validation of Large Systems, October
-
Ciordaş C., Basten T., Rǎdulescu A., Goossens K., van Meerbergen J. An Event-Based Network-on-Chip Monitoring Service. ACM Transactions on Design Automation of Electronic Systems October 2005, Vol. 10(No. 4):702-723. HLDVT'04 Special Issue on Validation of Large Systems.
-
(2005)
ACM Transactions on Design Automation of Electronic Systems
, vol.10
, Issue.4
, pp. 702-723
-
-
Ciordaş, C.1
Basten, T.2
Rǎdulescu, A.3
Goossens, K.4
van Meerbergen, J.5
-
244
-
-
62349086227
-
Express Cubes: Improving the Performance of k-ary n-cube Interconnection Networks
-
September
-
Dally W.J. Express Cubes: Improving the Performance of k-ary n-cube Interconnection Networks. IEEE Transactions on Computers September 1991, Vol. 40(No. 9):1016-1023.
-
(1991)
IEEE Transactions on Computers
, vol.40
, Issue.9
, pp. 1016-1023
-
-
Dally, W.J.1
-
246
-
-
84862136297
-
Adaptive routing using virtual channels
-
September, Laboratory for Computer Science, MIT, San Francisco, CA, USA
-
Dally W.J., Aoki H. Adaptive routing using virtual channels. Technical Report September 1990, Laboratory for Computer Science, MIT, San Francisco, CA, USA.
-
(1990)
Technical Report
-
-
Dally, W.J.1
Aoki, H.2
-
247
-
-
0027579765
-
Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels
-
April
-
Dally W.J., Aoki H. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels. IEEE Transactions on Parallel and Distributed Systems April 1993, Vol. 4(No. 4):466-475.
-
(1993)
IEEE Transactions on Parallel and Distributed Systems
, vol.4
, Issue.4
, pp. 466-475
-
-
Dally, W.J.1
Aoki, H.2
-
248
-
-
0023346637
-
Deadlock-Free Message Routing in Multiprocessor Interconnection Networks
-
May
-
Dally W.J., Seitz C.L. Deadlock-Free Message Routing in Multiprocessor Interconnection Networks. IEEE Transactions on Computers May 1987, Vol. 36(No. 5):547-553.
-
(1987)
IEEE Transactions on Computers
, vol.36
, Issue.5
, pp. 547-553
-
-
Dally, W.J.1
Seitz, C.L.2
-
250
-
-
84882190416
-
High-speed network design for large-scale
-
September, Massachusetts Institute of Technology, Artificial Intelligence Laboratory, Cambridge, Massachusetts
-
DeHon A., Robust high-speed network design for large-scale. Multiprocessing, A.I. Technical report 1445 September 1993, Massachusetts Institute of Technology, Artificial Intelligence Laboratory, Cambridge, Massachusetts.
-
(1993)
Multiprocessing, A.I. Technical report 1445
-
-
DeHon, A.1
Robust2
-
252
-
-
0012441737
-
-
Morgan Kaufmann, Cambridge, MA
-
Duato J., Yalamanchili S., Ni L. Interconnection Networks - An Engineering Approach 2003, Morgan Kaufmann, Cambridge, MA.
-
(2003)
Interconnection Networks - An Engineering Approach
-
-
Duato, J.1
Yalamanchili, S.2
Ni, L.3
-
256
-
-
33646405636
-
Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Æthereal Network on Chip
-
Chapter 1, Springer, San Francisco, CA, P. van der Stok (Ed.) Dynamic and Robust Streaming in and Between Connected Consumer-Electronics Devices
-
Gangwal O.P., Radulescu A., Goossens K., Pestana S.González, Rijpkema E. Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Æthereal Network on Chip. Philips Research Book Series 2005, 1-36. Chapter 1, Springer, San Francisco, CA. P. van der Stok (Ed.).
-
(2005)
Philips Research Book Series
, pp. 1-36
-
-
Gangwal, O.P.1
Radulescu, A.2
Goossens, K.3
Pestana, S.G.4
Rijpkema, E.5
-
258
-
-
84882127901
-
NoC architecture for future fpgas, Department of EE
-
March, Technion, Berlin, Germany
-
Gindin R., Cidon I., Keidar I. NoC architecture for future fpgas, Department of EE. CCIT Report 579 March 2006, Technion, Berlin, Germany.
-
(2006)
CCIT Report 579
-
-
Gindin, R.1
Cidon, I.2
Keidar, I.3
-
260
-
-
0028513557
-
The Turn Model for Adaptive Routing
-
September
-
Glass C.J., Ni L.M. The Turn Model for Adaptive Routing. Journal ofthe ACM September 1994, Vol. 41(No. 5):874-902.
-
(1994)
Journal ofthe ACM
, vol.41
, Issue.5
, pp. 874-902
-
-
Glass, C.J.1
Ni, L.M.2
-
261
-
-
84882221656
-
-
January, Technical Note 2006/00003, Philips Research
-
Pestana S.Gonzalez, Goossens K., Radulescu A., Thid R. Frameworkand performance metric definitions: A first step towards network-on-chip benchmarking January 2006, Technical Note 2006/00003, Philips Research.
-
(2006)
Frameworkand performance metric definitions: A first step towards network-on-chip benchmarking
-
-
Pestana, S.G.1
Goossens, K.2
Radulescu, A.3
Thid, R.4
-
262
-
-
27344448207
-
A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification
-
March
-
Goossens K., Dielissen J., Gangwal O.P., Pestana S.Gonzalez, Radulescu A., Rijpkema E. A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2005, 1182-1187.
-
(2005)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 1182-1187
-
-
Goossens, K.1
Dielissen, J.2
Gangwal, O.P.3
Pestana, S.G.4
Radulescu, A.5
Rijpkema, E.6
-
263
-
-
27344456043
-
The Æthereal Network on Chip: Concepts, Architectures, and Implementations
-
September-October
-
Goossens K., Dielissen J., Rǎdulescu A. The Æthereal Network on Chip: Concepts, Architectures, and Implementations. IEEE Design and Test of Computers September-October 2005, Vol. 22(No. 5):21-31.
-
(2005)
IEEE Design and Test of Computers
, vol.22
, Issue.5
, pp. 21-31
-
-
Goossens, K.1
Dielissen, J.2
Rǎdulescu, A.3
-
264
-
-
0042534136
-
Guaranteeing the quality of Services in Networks on Chip
-
Chapter 4, Kluwer, A. Jantsch, H. Tenhunen (Eds.)
-
Goossens K., Dielissen J., van Meerbergen J., Poplavko P., Radulescu A., Rijpkema E., Waterlander E., Wielage P. Guaranteeing the quality of Services in Networks on Chip. Networks on Chip 2003, 61-82. Chapter 4, Kluwer. A. Jantsch, H. Tenhunen (Eds.).
-
(2003)
Networks on Chip
, pp. 61-82
-
-
Goossens, K.1
Dielissen, J.2
van Meerbergen, J.3
Poplavko, P.4
Radulescu, A.5
Rijpkema, E.6
Waterlander, E.7
Wielage, P.8
-
265
-
-
84891434744
-
Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends
-
Chapter 15, Kluwer, Norwell, MA, J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.)
-
Goossens K., Gangwal O.P., Rover J., Niranjan A.P. Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends. Interconnect-Centric Design for Advanced SoCand NoC 2004, 399-423. Chapter 15, Kluwer, Norwell, MA. J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.).
-
(2004)
Interconnect-Centric Design for Advanced SoCand NoC
, pp. 399-423
-
-
Goossens, K.1
Gangwal, O.P.2
Rover, J.3
Niranjan, A.P.4
-
266
-
-
84893737717
-
Networks on Silicon: Combining Best-Effort and Guaranteed Services
-
March
-
Goossens K., van Meerbergen J., Peeters A., Wielage P. Networks on Silicon: Combining Best-Effort and Guaranteed Services. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2002, 423-425.
-
(2002)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 423-425
-
-
Goossens, K.1
van Meerbergen, J.2
Peeters, A.3
Wielage, P.4
-
268
-
-
33646901462
-
Un Réseau D'Interconnexion pour Systémes Intégrés
-
March, Université Paris VI, Norwell, MA
-
Guerrier P. Un Réseau D'Interconnexion pour Systémes Intégrés. Ph.D.thesis March 2000, Université Paris VI, Norwell, MA.
-
(2000)
Ph.D.thesis
-
-
Guerrier, P.1
-
270
-
-
0019556656
-
Prevention of Deadlocks in Packet-Switched Data Transport System
-
April
-
Günther K.D. Prevention of Deadlocks in Packet-Switched Data Transport System. IEEE Transactions on Communications April 1981, Vol. 29:512-524.
-
(1981)
IEEE Transactions on Communications
, vol.29
, pp. 512-524
-
-
Günther, K.D.1
-
271
-
-
34248348534
-
Efficient Link Capacity and QoS Design for Wormhole Network-on-Chip
-
March
-
Guz Z., Walter I., Bolotin E., Cidon I., Ginosar R., Kolodny A. Efficient Link Capacity and QoS Design for Wormhole Network-on-Chip. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2005, 9-14.
-
(2005)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 9-14
-
-
Guz, Z.1
Walter, I.2
Bolotin, E.3
Cidon, I.4
Ginosar, R.5
Kolodny, A.6
-
272
-
-
36448961424
-
UMARS: A unified approach to mapping and routing on a combined guaranteed service and best-effort network-on-chip architecture
-
April, Philips Research, Paris, France
-
Hansson A., Goossens K., Rǎdulescu A. UMARS: A unified approach to mapping and routing on a combined guaranteed service and best-effort network-on-chip architecture. Technical Report 2005/00340 April 2005, Philips Research, Paris, France.
-
(2005)
Technical Report 2005/00340
-
-
Hansson, A.1
Goossens, K.2
Rǎdulescu, A.3
-
274
-
-
84882226773
-
Analysis of message-dependent deadlock in network-based systems on chip
-
March, Philips Research
-
Hansson A., Goossens K., Radulescu A. Analysis of message-dependent deadlock in network-based systems on chip. Technical Report 2006/00230 March 2006, Philips Research.
-
(2006)
Technical Report 2006/00230
-
-
Hansson, A.1
Goossens, K.2
Radulescu, A.3
-
282
-
-
84856138901
-
Credit-Based Flow Control for ATM Networks: Credit Update Protocol, Adaptive Credit Allocation and Statistical Multiplexing
-
Kung H.T., Blackwell T., Chapman A. Credit-Based Flow Control for ATM Networks: Credit Update Protocol, Adaptive Credit Allocation and Statistical Multiplexing. SIGCOMM 1994, 101-114.
-
(1994)
SIGCOMM
, pp. 101-114
-
-
Kung, H.T.1
Blackwell, T.2
Chapman, A.3
-
283
-
-
0035573142
-
Adaptive Systems on a Chip (aSoC) for Low-Power Signal Processing
-
Laffely A., Liang J., Jain P., Weng N., Burleson W., Tessier R. Adaptive Systems on a Chip (aSoC) for Low-Power Signal Processing. Proceedings of the Asilomar Conference on Signals, Systems, and Computers 2001.
-
(2001)
Proceedings of the Asilomar Conference on Signals, Systems, and Computers
-
-
Laffely, A.1
Liang, J.2
Jain, P.3
Weng, N.4
Burleson, W.5
Tessier, R.6
-
284
-
-
38849155806
-
An Interconnect-Centric Approach for Adapting Voltage and Frequency in Heterogeneous System-on-a-Chip
-
University of Massachusetts, Eindhoven, The Netherlands
-
Laffely A.J. An Interconnect-Centric Approach for Adapting Voltage and Frequency in Heterogeneous System-on-a-Chip. Ph.D. thesis 2003, University of Massachusetts, Eindhoven, The Netherlands.
-
(2003)
Ph.D. thesis
-
-
Laffely, A.J.1
-
287
-
-
0022141776
-
Fat-Trees: Universal Networks for Hardware-efficient supercomputing
-
October
-
Leiserson C. Fat-Trees: Universal Networks for Hardware-efficient supercomputing. IEEE Transactions on Computers October 1985, Vol. C-34(No.10):892-901.
-
(1985)
IEEE Transactions on Computers
, vol.C-34
, Issue.10
, pp. 892-901
-
-
Leiserson, C.1
-
288
-
-
0025746735
-
An adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes
-
January
-
Linder D.H., Harden J.C. An adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes. IEEE Transactions on Computers January 1991, Vol. 40(No. 1):2-12.
-
(1991)
IEEE Transactions on Computers
, vol.40
, Issue.1
, pp. 2-12
-
-
Linder, D.H.1
Harden, J.C.2
-
289
-
-
13844263189
-
Fast, Minimal, and Oblivious Routing Algorithms on the mesh with bounded Queues
-
Litman A., Moran-Schein S. Fast, Minimal, and Oblivious Routing Algorithms on the mesh with bounded Queues. Journal of Interconnection Networks 2001, Vol. 2(No. 4):445-469.
-
(2001)
Journal of Interconnection Networks
, vol.2
, Issue.4
, pp. 445-469
-
-
Litman, A.1
Moran-Schein, S.2
-
291
-
-
0023344668
-
Routing in the Manhattan Street Network
-
May
-
Maxemchuk N.F. Routing in the Manhattan Street Network. IEEE Transactions on Communication May 1987, Vol. COM-35(No. 2-3):503-512.
-
(1987)
IEEE Transactions on Communication
, vol.COM-35
, Issue.2-3
, pp. 503-512
-
-
Maxemchuk, N.F.1
-
292
-
-
0003668492
-
-
McGraw-Hill, Inc., Amherst, MA
-
McDysan D.E., Spohn D.L. ATM: Theory and Application 1994, McGraw-Hill, Inc., Amherst, MA.
-
(1994)
ATM: Theory and Application
-
-
McDysan, D.E.1
Spohn, D.L.2
-
294
-
-
2342620693
-
The Nostrum Backbone - a Communication Protocol Stack for Networks on Chip
-
Millberg M., Nilsson E., Thid R., Kumar S., Jantsch A. The Nostrum Backbone - a Communication Protocol Stack for Networks on Chip. Proceedings of the International Conference on VLSI Design 2004, 693-696.
-
(2004)
Proceedings of the International Conference on VLSI Design
, pp. 693-696
-
-
Millberg, M.1
Nilsson, E.2
Thid, R.3
Kumar, S.4
Jantsch, A.5
-
295
-
-
0032157805
-
Wormhole Routing Techniques for Directly Connected Multicomputer Systems
-
Mohapatra P. Wormhole Routing Techniques for Directly Connected Multicomputer Systems. ACM Computing Surveys 1998, Vol. 30(No, 3):374-410.
-
(1998)
ACM Computing Surveys
, vol.30
, Issue.3
, pp. 374-410
-
-
Mohapatra, P.1
-
297
-
-
34047123275
-
A Methodology for Mapping Multiple Use-Cases on to Networks on Chip
-
March
-
Murali S., Coenen M., Radulescu A., Goossens K., De Micheli G. A Methodology for Mapping Multiple Use-Cases on to Networks on Chip. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2006, 118-123.
-
(2006)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 118-123
-
-
Murali, S.1
Coenen, M.2
Radulescu, A.3
Goossens, K.4
De Micheli, G.5
-
299
-
-
84943681390
-
A Survey of Wormhole Routing Techniquesin Direct Networks
-
February
-
Ni L.M., McKinley P.K. A Survey of Wormhole Routing Techniquesin Direct Networks. IEEE Computer February 1993, Vol. 26(No. 2):62-76.
-
(1993)
IEEE Computer
, vol.26
, Issue.2
, pp. 62-76
-
-
Ni, L.M.1
McKinley, P.K.2
-
300
-
-
0003256793
-
Definition of the Differentiated Services Field (DS Field) in the IPv4 and IPv6 Headers
-
Available at December
-
Nichols K., Blake S., Baker F., Black D. Definition of the Differentiated Services Field (DS Field) in the IPv4 and IPv6 Headers. The RFC archive - RFC 2474 December 1998, 1126-1127. Available athttp://www.rfc_archive.org/getrfc.php?rfc=2474.
-
(1998)
The RFC archive - RFC 2474
, pp. 1126-1127
-
-
Nichols, K.1
Blake, S.2
Baker, F.3
Black, D.4
-
302
-
-
33646920105
-
CentralizedRun-Time Resource Management in a Network-on-Chip Containing Reconfigurable Hardware Tiles
-
March
-
Nollet V., Marescaux T., Avasare P., Verkest D., Mignolet J.-Y. CentralizedRun-Time Resource Management in a Network-on-Chip Containing Reconfigurable Hardware Tiles. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2005, 234-239.
-
(2005)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 234-239
-
-
Nollet, V.1
Marescaux, T.2
Avasare, P.3
Verkest, D.4
Mignolet, J.-Y.5
-
304
-
-
0043034905
-
-
OCP International Partnership, Available at
-
OCP International Partnership Open Core Protocol Specification 2001, 1342-1347. Available athttp://www.ocpip.org.
-
(2001)
Open Core Protocol Specification
, pp. 1342-1347
-
-
-
307
-
-
0345358582
-
Trade Offs in the Design of a Router withBoth Guaranteed and Best-Effort Services for Networks on Chip
-
September
-
Rijpkema E., Goossens K., Rǎdulescu A., Dielissen J., van Meerbergen J., Wielage P., Waterlander E. Trade Offs in the Design of a Router withBoth Guaranteed and Best-Effort Services for Networks on Chip. IEE Proceedings: Computers and Digital Technique September 2003, 294-302. No. 5.
-
(2003)
IEE Proceedings: Computers and Digital Technique
, Issue.5
, pp. 294-302
-
-
Rijpkema, E.1
Goossens, K.2
Rǎdulescu, A.3
Dielissen, J.4
van Meerbergen, J.5
Wielage, P.6
Waterlander, E.7
-
309
-
-
11844249902
-
An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Programming
-
January
-
Radulescu A., Dielissen J., Pestana S.Gonzalez, Gangwal O.P., Rijpkema E., Wielage P., Goossens K. An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Programming. IEEE Transactions on CAD of Integrated Circuits and Systems January 2005, Vol. 24(No. 1):4-17.
-
(2005)
IEEE Transactions on CAD of Integrated Circuits and Systems
, vol.24
, Issue.1
, pp. 4-17
-
-
Radulescu, A.1
Dielissen, J.2
Pestana, S.G.3
Gangwal, O.P.4
Rijpkema, E.5
Wielage, P.6
Goossens, K.7
-
310
-
-
11844282284
-
Communication Services for Networks on Chip
-
Marcel Dekker, New York, USA, S.S. Bhattacharyya, E.F. Deprettere, J. Teich (Eds.)
-
Radulescu A., Goossens K. Communication Services for Networks on Chip. Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation 2004, 193-213. Marcel Dekker, New York, USA. S.S. Bhattacharyya, E.F. Deprettere, J. Teich (Eds.).
-
(2004)
Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation
, pp. 193-213
-
-
Radulescu, A.1
Goossens, K.2
-
312
-
-
27544463701
-
Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks
-
Seo D., Ali A., Lim W.-T., Rafique N., Thottethodi M. Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks. International Symposium on Computer Architecture 2005, 432-443.
-
(2005)
International Symposium on Computer Architecture
, pp. 432-443
-
-
Seo, D.1
Ali, A.2
Lim, W.-T.3
Rafique, N.4
Thottethodi, M.5
-
314
-
-
38849195570
-
An Optimized Hardware Architecture and Communication Protocol for Scheduled Communication
-
May, Electrical Engineering and Computer Science Department, Massachusetts Institute of Technology, New York
-
Shoemaker D. An Optimized Hardware Architecture and Communication Protocol for Scheduled Communication. Ph.D. thesis May 1997, Electrical Engineering and Computer Science Department, Massachusetts Institute of Technology, New York.
-
(1997)
Ph.D. thesis
-
-
Shoemaker, D.1
-
315
-
-
0036605162
-
Flow Control in Server-net Clusters
-
June
-
Shurbanov V., Avresky D., Mehra P., Watson W. Flow Control in Server-net Clusters. The Journal of Supercomputing June 2002, Vol. 22(No. 2):161-173.
-
(2002)
The Journal of Supercomputing
, vol.22
, Issue.2
, pp. 161-173
-
-
Shurbanov, V.1
Avresky, D.2
Mehra, P.3
Watson, W.4
-
316
-
-
0038300184
-
A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems
-
Song Y.H., Pinkston T.M. A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems. IEEE Transactions on Parallel and Distributed Systems 2003, Vol. 14:259-275.
-
(2003)
IEEE Transactions on Parallel and Distributed Systems
, vol.14
, pp. 259-275
-
-
Song, Y.H.1
Pinkston, T.M.2
-
317
-
-
34047094976
-
Networks on Chips for High-End Consumer-Electronics TV System Architectures
-
March
-
Steenhof F., Duque H., Nilsson B., Goossens K., Llopis R.Peset Networks on Chips for High-End Consumer-Electronics TV System Architectures. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2006, 148-153.
-
(2006)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 148-153
-
-
Steenhof, F.1
Duque, H.2
Nilsson, B.3
Goossens, K.4
Llopis, R.P.5
-
320
-
-
34547990322
-
Runtime Networks-on-Chip Performance Monitoring
-
March, Philips Research, Upper Saddle River, NJ
-
van den Brand J.W., Ciordaş C., Basten T. Runtime Networks-on-Chip Performance Monitoring. Technical Report 2006/00218 March 2006, Philips Research, Upper Saddle River, NJ.
-
(2006)
Technical Report 2006/00218
-
-
van den Brand, J.W.1
Ciordaş, C.2
Basten, T.3
-
321
-
-
0031236158
-
Baring It All to Software: Raw Machines
-
September
-
Waingold E., Taylor M., Srikrishna D., Sarkar V., Lee W., Lee V., Kim J., Frank M., Finch P., Barua R., Babb J., Amarasinghe S., Agarwal A. Baring It All to Software: Raw Machines. IEEE Computer September 1997, Vol. 30(No. 9):86-93.
-
(1997)
IEEE Computer
, vol.30
, Issue.9
, pp. 86-93
-
-
Waingold, E.1
Taylor, M.2
Srikrishna, D.3
Sarkar, V.4
Lee, W.5
Lee, V.6
Kim, J.7
Frank, M.8
Finch, P.9
Barua, R.10
Babb, J.11
Amarasinghe, S.12
Agarwal, A.13
-
322
-
-
84882090654
-
Quality of Service in Network-on-Chip
-
August, Tech-nion, Israel Institute of Technology, Eindhoven, The Nertherlands
-
Walter I.Z. Quality of Service in Network-on-Chip. Masters thesis August 2005, Tech-nion, Israel Institute of Technology, Eindhoven, The Nertherlands.
-
(2005)
Masters thesis
-
-
Walter, I.Z.1
-
324
-
-
33646917831
-
Development and Performance Evaluation of Networks on Chip
-
Department of Electrical Engineering, Linkoping University, Haifa, Israel
-
Wiklund D. Development and Performance Evaluation of Networks on Chip. Ph.D. thesis 2005, Department of Electrical Engineering, Linkoping University, Haifa, Israel.
-
(2005)
Ph.D. thesis
-
-
Wiklund, D.1
-
326
-
-
1242309793
-
Packetization and Routing Analysis of On-Chip Multiprocessor Networks
-
Special issue on Networks on Chip, February
-
Ye T.T, Benini L., De Micheli G. Packetization and Routing Analysis of On-Chip Multiprocessor Networks. Journal of Systems Architecture February 2004, Vol. 50(No. 2-3):81-104. Special issue on Networks on Chip.
-
(2004)
Journal of Systems Architecture
, vol.50
, Issue.2-3
, pp. 81-104
-
-
Ye, T.T.1
Benini, L.2
De Micheli, G.3
-
327
-
-
0029388337
-
Service Disciplines for Guaranteed Performance Service in Packet-Switching Networks
-
No. 10, October
-
Zhang H. Service Disciplines for Guaranteed Performance Service in Packet-Switching Networks. Proceedings of the IEEE October 1995, 1374-1396. No. 10.
-
(1995)
Proceedings of the IEEE
, pp. 1374-1396
-
-
Zhang, H.1
-
330
-
-
84882085700
-
-
http://www.vsi.org.
-
-
-
-
334
-
-
43449130795
-
-
Advanced RISC Machines Ltd, Linkoping, Sweden
-
ARM7DMI Data Sheet 1994, Advanced RISC Machines Ltd, Linkoping, Sweden.
-
(1994)
ARM7DMI Data Sheet
-
-
-
335
-
-
0036922117
-
A Portable and Fault-Tolerant Microprocessor Based on the SPARC v8 Architecture
-
June
-
Gaisler J. A Portable and Fault-Tolerant Microprocessor Based on the SPARC v8 Architecture. International Conference on Dependable Systems and Networks June 2002, 409-415.
-
(2002)
International Conference on Dependable Systems and Networks
, pp. 409-415
-
-
Gaisler, J.1
-
336
-
-
0033886799
-
A Single-Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP
-
March
-
Ackland B., et al. A Single-Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP. IEEE Journal off Solid-State Circuits March 2000, Vol. 35(No. 3):412-424.
-
(2000)
IEEE Journal off Solid-State Circuits
, vol.35
, Issue.3
, pp. 412-424
-
-
Ackland, B.1
-
337
-
-
34548346286
-
LOTOS Code Generation for Model Checking of STBus Based SoC: The STBus Inter-connect
-
June
-
Wodey P., Camarroque G., Baray F., Hersemeule R., Cousin J.P. LOTOS Code Generation for Model Checking of STBus Based SoC: The STBus Inter-connect. First ACM and IEEE International Conference on Formal Methods and Models for Co-Design June 2003, 204-213.
-
(2003)
First ACM and IEEE International Conference on Formal Methods and Models for Co-Design
, pp. 204-213
-
-
Wodey, P.1
Camarroque, G.2
Baray, F.3
Hersemeule, R.4
Cousin, J.P.5
-
338
-
-
0034428118
-
System-Level Design: Orthogonalization of Concerns and Platform-Based Design
-
December
-
Keutzer K., Newton A.R., Rabaey J.M., Sangiovanni-Vincentelli A. System-Level Design: Orthogonalization of Concerns and Platform-Based Design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems December 2000, Vol. 19(No. 12):1523-1543.
-
(2000)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer, K.1
Newton, A.R.2
Rabaey, J.M.3
Sangiovanni-Vincentelli, A.4
-
344
-
-
1242309790
-
QNoC: QoS Architecture and Design Process for Network on Chip
-
February
-
Bolotin E., Cidon I., Ginosar R., Kolodny A. QNoC: QoS Architecture and Design Process for Network on Chip. The Journal of Systems Architecture, Special Issue on Networks on Chip February 2004, Vol. 50(No. 2-3):105-128.
-
(2004)
The Journal of Systems Architecture, Special Issue on Networks on Chip
, vol.50
, Issue.2-3
, pp. 105-128
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
346
-
-
27344440896
-
Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
-
Lee S.J., Kim K., Kim H., Cho N., Yoo H.J. Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme. Symposium on VLSI circuits 2005, 104-107.
-
(2005)
Symposium on VLSI circuits
, pp. 104-107
-
-
Lee, S.J.1
Kim, K.2
Kim, H.3
Cho, N.4
Yoo, H.J.5
-
347
-
-
27644494723
-
Key Research Problems in NoC Design: A Holistic Perspective
-
September
-
Ogras U., Hu J., Marculescu R. Key Research Problems in NoC Design: A Holistic Perspective. Proceedings of the CODES ISSS September 2005, 69-74.
-
(2005)
Proceedings of the CODES ISSS
, pp. 69-74
-
-
Ogras, U.1
Hu, J.2
Marculescu, R.3
-
349
-
-
11844249902
-
An Efficient On-Chip NI Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration
-
January
-
Radulescu A., Dielissen J., Pestana S.G., Gangwal O.P., Rijpkema E., Wielage P., Goossens K. An Efficient On-Chip NI Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems January 2005, Vol. 24(No. 1):4-17.
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.1
, pp. 4-17
-
-
Radulescu, A.1
Dielissen, J.2
Pestana, S.G.3
Gangwal, O.P.4
Rijpkema, E.5
Wielage, P.6
Goossens, K.7
-
353
-
-
34047170421
-
Constrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness
-
March
-
Angiolini F., Meloni P., Carta S., Benini L., Raffo L. Constrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness. Design Automation and Test in Europe Conference March 2006, 124-129.
-
(2006)
Design Automation and Test in Europe Conference
, pp. 124-129
-
-
Angiolini, F.1
Meloni, P.2
Carta, S.3
Benini, L.4
Raffo, L.5
-
354
-
-
21244503275
-
-
April, Kluwer Academic Publisher, J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.)
-
InterconnectCentric Design for Advanced SoC and NoC April 2004, Kluwer Academic Publisher. J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.).
-
(2004)
InterconnectCentric Design for Advanced SoC and NoC
-
-
-
355
-
-
84882159314
-
Hardware Wrapper Classification and Requirements for On-Chip Interconnects
-
Monastir, Tunisie
-
Scherrer A., Risset T., Fraboulet A. Hardware Wrapper Classification and Requirements for On-Chip Interconnects. Signaux, Circuits et Systmes 2004 2004, 31-34. Monastir, Tunisie.
-
(2004)
Signaux, Circuits et Systmes 2004
, pp. 31-34
-
-
Scherrer, A.1
Risset, T.2
Fraboulet, A.3
-
356
-
-
33646927819
-
Design of a Virtual Component Neutral Network-on-Chip Transaction Layer
-
Martin P. Design of a Virtual Component Neutral Network-on-Chip Transaction Layer. Design Automation and Test in Europe Conference 2005, 336-337.
-
(2005)
Design Automation and Test in Europe Conference
, pp. 336-337
-
-
Martin, P.1
-
357
-
-
16244385949
-
Reducing power and Latency in 2-D Mesh NoCs Using Globally Pseudochronous Locally Synchronous Clocking
-
September
-
Nilsson E., Oeberg J. Reducing power and Latency in 2-D Mesh NoCs Using Globally Pseudochronous Locally Synchronous Clocking. CODES ISSS September 2004, 176-181.
-
(2004)
CODES ISSS
, pp. 176-181
-
-
Nilsson, E.1
Oeberg, J.2
-
358
-
-
27344452711
-
Analysis and Implementation of Practical, Cost-Effective Networks on Chips
-
September-October
-
Lee S.J., Lee K., Yoo H.J. Analysis and Implementation of Practical, Cost-Effective Networks on Chips. IEEE Design and Test of Computers September-October 2005, 422-433.
-
(2005)
IEEE Design and Test of Computers
, pp. 422-433
-
-
Lee, S.J.1
Lee, K.2
Yoo, H.J.3
-
360
-
-
0344981523
-
Xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for Multiprocessor SoCs
-
Dall'Osso M., Biccari G., Giovannini L., Bertozzi D., Benini L. xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for Multiprocessor SoCs. International Conference on Computer Design 2003, 536-539.
-
(2003)
International Conference on Computer Design
, pp. 536-539
-
-
Dall'Osso, M.1
Biccari, G.2
Giovannini, L.3
Bertozzi, D.4
Benini, L.5
-
363
-
-
0345358582
-
Trade-Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip
-
September, No. 5, Vol. 150
-
Rijpkema E., Goossens K., Radulescu A., Dielissen J., van Meerbergen J., Wielage P., Waterlander E. Trade-Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip. IEE Proceedings of Computers and Digital Techniques September 2003, 294-302. No. 5, Vol. 150.
-
(2003)
IEE Proceedings of Computers and Digital Techniques
, pp. 294-302
-
-
Rijpkema, E.1
Goossens, K.2
Radulescu, A.3
Dielissen, J.4
van Meerbergen, J.5
Wielage, P.6
Waterlander, E.7
-
364
-
-
84882207636
-
Networks on Chips: A Synthesis Perspective
-
September
-
Angiolini F., Meloni P., Bertozzi D., Benini L., Carta S., Raffo L. Networks on Chips: A Synthesis Perspective. Proceedings of the Parallel Computing (ParCo) Conference September 2005.
-
(2005)
Proceedings of the Parallel Computing (ParCo) Conference
-
-
Angiolini, F.1
Meloni, P.2
Bertozzi, D.3
Benini, L.4
Carta, S.5
Raffo, L.6
-
365
-
-
0034785285
-
Powering Networks on Chips
-
Benini L., De Michli G. Powering Networks on Chips. ISSS 2001, 33-38.
-
(2001)
ISSS
, pp. 33-38
-
-
Benini, L.1
De Michli, G.2
-
369
-
-
33646405636
-
Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Aethereal network on Chip
-
Springer, Upper Saddle River, NJ, P. van der Stok (Ed.)
-
Gangwal O.P., Radulescu A., Goossens K., Pestana S.G., Rijpkema E. Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Aethereal network on Chip. Dynamic and Robust Streaming In and Between Connected Consumer-Electronics Devices 2005, Springer, Upper Saddle River, NJ. P. van der Stok (Ed.).
-
(2005)
Dynamic and Robust Streaming In and Between Connected Consumer-Electronics Devices
-
-
Gangwal, O.P.1
Radulescu, A.2
Goossens, K.3
Pestana, S.G.4
Rijpkema, E.5
-
370
-
-
2342620693
-
The Nostrum Backbone - A Communication Protocol Stack for Networks on Chip
-
Millberg M., Nilsson E., Thid R., Kumar S., Jantsch A. The Nostrum Backbone - A Communication Protocol Stack for Networks on Chip. International Conference on VLSI Design 2004, 693-696.
-
(2004)
International Conference on VLSI Design
, pp. 693-696
-
-
Millberg, M.1
Nilsson, E.2
Thid, R.3
Kumar, S.4
Jantsch, A.5
-
371
-
-
84882090376
-
State-of-the-art SoC communication architectures
-
CRC Press, Berlin, Germany, R. Zurawski (Ed.)
-
Ayala J.L., Lopez-Vallejo M., Bertozzi D., Benini L. State-of-the-art SoC communication architectures. Embedded Systems Handbook 2005, CRC Press, Berlin, Germany. R. Zurawski (Ed.).
-
(2005)
Embedded Systems Handbook
-
-
Ayala, J.L.1
Lopez-Vallejo, M.2
Bertozzi, D.3
Benini, L.4
-
372
-
-
27344431958
-
Xpipes Lite: A Synthesis Oriented Design Library for Networks on Chips
-
Stergiou S., Angiolini F., Carta S., Raffo L., Bertozzi D., De Micheli G. xpipes Lite: A Synthesis Oriented Design Library for Networks on Chips. Design Automation and Test in Europe Conference 2005, 1188-1193.
-
(2005)
Design Automation and Test in Europe Conference
, pp. 1188-1193
-
-
Stergiou, S.1
Angiolini, F.2
Carta, S.3
Raffo, L.4
Bertozzi, D.5
De Micheli, G.6
-
373
-
-
11844282284
-
Communication Services for Networks on Chip
-
Radulescu A., Goossens K. Communication Services for Networks on Chip. SAMOS 2002, 275-299.
-
(2002)
SAMOS
, pp. 275-299
-
-
Radulescu, A.1
Goossens, K.2
-
374
-
-
84882102878
-
Tensilicas automation arrives: new design tool creates CPU extensions from C/C++ programs
-
July 12
-
Halfhill T.R. Tensilicas automation arrives: new design tool creates CPU extensions from C/C++ programs. Microprocessor Report July 12, 2004, http://www.MPRonline.com.
-
(2004)
Microprocessor Report
-
-
Halfhill, T.R.1
-
375
-
-
22944472975
-
Configurable Processors: A New Era in Chip Design
-
July
-
Leibson S., Kim J. Configurable Processors: A New Era in Chip Design. IEEE Computer July 2005, Vol. 38(No. 7):51-59.
-
(2005)
IEEE Computer
, vol.38
, Issue.7
, pp. 51-59
-
-
Leibson, S.1
Kim, J.2
-
378
-
-
84882166280
-
-
http://www.rsim.cs.uiuc.edu/rsim/.
-
-
-
-
381
-
-
84891434744
-
Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends
-
Chapter 15, Kluwer, San Fransisco, CA, J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.)
-
Goossens K., Gangwal O.P., Roever J., Niranjan A.P. Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends. Interconnect-Centric Design for Advanced SoC and NoC 2004, 399-423. Chapter 15, Kluwer, San Fransisco, CA. J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.).
-
(2004)
Interconnect-Centric Design for Advanced SoC and NoC
, pp. 399-423
-
-
Goossens, K.1
Gangwal, O.P.2
Roever, J.3
Niranjan, A.P.4
-
383
-
-
33749568711
-
Predictable Embedded multiprocessor System Design
-
September, Springer, Norwell, MA
-
Bekooij M., Moreira O., Poplavko P., Mesman B., Pastrnak M., van Meerbergen J. Predictable Embedded multiprocessor System Design. Proceedings of the International Workshop on Software and Compilers for Embedded Systems (SCOPES) September 2004, Springer, Norwell, MA.
-
(2004)
Proceedings of the International Workshop on Software and Compilers for Embedded Systems (SCOPES)
-
-
Bekooij, M.1
Moreira, O.2
Poplavko, P.3
Mesman, B.4
Pastrnak, M.5
van Meerbergen, J.6
-
387
-
-
84882184518
-
Design of a Low Latency Circuit Switched NoC Architecture for High Performance MPSoCs
-
University of Ferrara, March
-
Martini F. Design of a Low Latency Circuit Switched NoC Architecture for High Performance MPSoCs. Master thesis March 2006, University of Ferrara.
-
(2006)
Master thesis
-
-
Martini, F.1
-
388
-
-
0036113495
-
Ambient Intelligence, the Next Paradigm for Consumer Electronics: How will it Affect Silicon?
-
Boekhorst F. Ambient Intelligence, the Next Paradigm for Consumer Electronics: How will it Affect Silicon?. International Solid-State Circuits Conference 2002, Vol. 1:28-31.
-
(2002)
International Solid-State Circuits Conference
, vol.1
, pp. 28-31
-
-
Boekhorst, F.1
-
390
-
-
84895303886
-
-
Springer, Italy, W. Weber, J. Rabaey, E. Aarts (Eds.)
-
Ambient Intelligence 2005, Springer, Italy. W. Weber, J. Rabaey, E. Aarts (Eds.).
-
(2005)
Ambient Intelligence
-
-
-
391
-
-
33748848240
-
Platform 2015: Intel Processor and Platform Evolution forthe Next Decade
-
Borkar S., et al. Platform 2015: Intel Processor and Platform Evolution forthe Next Decade. INTEL White Paper 2005.
-
(2005)
INTEL White Paper
-
-
Borkar, S.1
-
397
-
-
0033886799
-
A Single Chip, 1.6 Billion, 16-b MAC/s Multiprocessor DSP
-
Ackland B., et al. A Single Chip, 1.6 Billion, 16-b MAC/s Multiprocessor DSP. IEEE Journal of Solid State Circuits 2000, Vol. 35(No. 3):412-424.
-
(2000)
IEEE Journal of Solid State Circuits
, vol.35
, Issue.3
, pp. 412-424
-
-
Ackland, B.1
-
399
-
-
3042511814
-
Analyzing On-Chip Communication in a MPSoC Environment
-
Loghi M., Angiolini F., Bertozzi D., Benini L., Zafalon R. Analyzing On-Chip Communication in a MPSoC Environment. Design and Test in Europe Conference (DATE) 2004, 752-757.
-
(2004)
Design and Test in Europe Conference (DATE)
, pp. 752-757
-
-
Loghi, M.1
Angiolini, F.2
Bertozzi, D.3
Benini, L.4
Zafalon, R.5
-
400
-
-
4444328501
-
An Integrated Hardware/Software Approach For Run-Time Scratchpad Management
-
Poletti F., Marchal P., Atienza D., Benini L., Catthoor F., Mendias J.M. An Integrated Hardware/Software Approach For Run-Time Scratchpad Management. Design Automation Conference 2004, 238-243.
-
(2004)
Design Automation Conference
, pp. 238-243
-
-
Poletti, F.1
Marchal, P.2
Atienza, D.3
Benini, L.4
Catthoor, F.5
Mendias, J.M.6
-
401
-
-
27344435504
-
The Design and Implementation of a First-generation CELLProcessor
-
Pham D., et al. The Design and Implementation of a First-generation CELLProcessor. IEEE International Solid-State Circuits Conference 2005, 184-592.
-
(2005)
IEEE International Solid-State Circuits Conference
, pp. 184-592
-
-
Pham, D.1
-
402
-
-
0033880036
-
The Stanford Hydra CMP
-
Hammond L., et al. The Stanford Hydra CMP. IEEE Micro 2000, Vol. 20(No. 2):71-84.
-
(2000)
IEEE Micro
, vol.20
, Issue.2
, pp. 71-84
-
-
Hammond, L.1
-
403
-
-
0033722744
-
Piranha: A Scalable Architecture Based on Single-chip Multiprocessi
-
Barroso L., et al. Piranha: A Scalable Architecture Based on Single-chip Multiprocessi. International Symposium on Computer Architecture 2000, 282-293.
-
(2000)
International Symposium on Computer Architecture
, pp. 282-293
-
-
Barroso, L.1
-
405
-
-
84930656595
-
-
STMicroelectronics
-
STMicroelectronics Nomadik Platform http://www.st.com.
-
Nomadik Platform
-
-
-
407
-
-
1142294667
-
MP-LAPI:An Efficient Implementation of MPI for IBM RS/6000 SP systems
-
Banikazemi M., Govindaraju R., Blackmore R., Panda D. MP-LAPI:An Efficient Implementation of MPI for IBM RS/6000 SP systems. IEEE transactions Parallel and Distributed Systems 2001, Vol. 12(No. 10):1081-1093.
-
(2001)
IEEE transactions Parallel and Distributed Systems
, vol.12
, Issue.10
, pp. 1081-1093
-
-
Banikazemi, M.1
Govindaraju, R.2
Blackmore, R.3
Panda, D.4
-
408
-
-
0032204141
-
An Efficient Protected Message Interface
-
Lee W., Dally W., Keckler S., Carter N., Chang A. An Efficient Protected Message Interface. IEEE Computer 1998, Vol. 31(No. 11):68-75.
-
(1998)
IEEE Computer
, vol.31
, Issue.11
, pp. 68-75
-
-
Lee, W.1
Dally, W.2
Keckler, S.3
Carter, N.4
Chang, A.5
-
410
-
-
0037631147
-
A 160 mW, 80 nA Standby, MPEG-4 Audiovisual LSI 16Mb Embedded DRAM and a 5 GOPS Adaptive Post Filter
-
Arakida H., et al. A 160 mW, 80 nA Standby, MPEG-4 Audiovisual LSI 16Mb Embedded DRAM and a 5 GOPS Adaptive Post Filter. IEEE International Solid-State Circuits Conference 2003, 62-63.
-
(2003)
IEEE International Solid-State Circuits Conference
, pp. 62-63
-
-
Arakida, H.1
-
411
-
-
34147185485
-
Communication Centric Architectures for Turbo-decoding on Embedded Multiprocessors
-
Gilbert F., Thul M., When N. Communication Centric Architectures for Turbo-decoding on Embedded Multiprocessors. Design and Test in Europe Conference 2003, 351-356.
-
(2003)
Design and Test in Europe Conference
, pp. 351-356
-
-
Gilbert, F.1
Thul, M.2
When, N.3
-
412
-
-
4444343175
-
An Efficient Scalable and Flexible Data Transfer Architectures for Multiprocessor SoC with Massive Distributed Memory
-
Hand S., Baghdadi A., Bonacio M., Chae S., Jerraya A. An Efficient Scalable and Flexible Data Transfer Architectures for Multiprocessor SoC with Massive Distributed Memory. Design Automation Conference 2004, 250-255.
-
(2004)
Design Automation Conference
, pp. 250-255
-
-
Hand, S.1
Baghdadi, A.2
Bonacio, M.3
Chae, S.4
Jerraya, A.5
-
414
-
-
0025440459
-
A Survey of Cache Coherence Schemes for Multiprocessors
-
Stenstrom P. A Survey of Cache Coherence Schemes for Multiprocessors. IEEE Computer 1990, Vol. 23(No. 6):12-24.
-
(1990)
IEEE Computer
, vol.23
, Issue.6
, pp. 12-24
-
-
Stenstrom, P.1
-
415
-
-
0028516678
-
Hardware Approaches to Cache Coherence in Shared-Memory Multiprocessors
-
Tomasevic M., Milutinovic V.M. Hardware Approaches to Cache Coherence in Shared-Memory Multiprocessors. IEEE Micro 1994, Vol. 14(No. 5-6):52-59.
-
(1994)
IEEE Micro
, vol.14
, Issue.5-6
, pp. 52-59
-
-
Tomasevic, M.1
Milutinovic, V.M.2
-
416
-
-
0031145348
-
Classifying Software-Based Cache Coherence Solutions
-
Tartalja I., Milutinovic V.M. Classifying Software-Based Cache Coherence Solutions. IEEE Software 1997, Vol. 14(No. 3):90-101.
-
(1997)
IEEE Software
, vol.14
, Issue.3
, pp. 90-101
-
-
Tartalja, I.1
Milutinovic, V.M.2
-
417
-
-
20344374162
-
Niagara: a 32-way Multithreaded Sparc Processor
-
Kongetira P., Aingaran K., Olukotun K. Niagara: a 32-way Multithreaded Sparc Processor. IEEE Micro 2005, Vol. 25(No. 2):21-29.
-
(2005)
IEEE Micro
, vol.25
, Issue.2
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
418
-
-
85024275309
-
Software and the Concurrency Revolution
-
Sutter H., Larus J. Software and the Concurrency Revolution. ACM Queue 2005, Vol. 3(No. 7):54-62.
-
(2005)
ACM Queue
, vol.3
, Issue.7
, pp. 54-62
-
-
Sutter, H.1
Larus, J.2
-
419
-
-
0031295210
-
A Survey of Stream Processing
-
Stephens R. A Survey of Stream Processing. Acta Informatica 1997, Vol. 34(No. 7):491-541.
-
(1997)
Acta Informatica
, vol.34
, Issue.7
, pp. 491-541
-
-
Stephens, R.1
-
420
-
-
0023421474
-
Pipeline Interleaved Programmable DSPs: Synchronous Data Flow Programming
-
Lee E., Messerschmitt D. Pipeline Interleaved Programmable DSPs: Synchronous Data Flow Programming. IEEE Transactions on Signal Processing 1987, Vol. 35(No. 9):1334-1345.
-
(1987)
IEEE Transactions on Signal Processing
, vol.35
, Issue.9
, pp. 1334-1345
-
-
Lee, E.1
Messerschmitt, D.2
-
422
-
-
84882080194
-
-
AVISPA-CH
-
Hive Silicon AVISPA-CH. http://www.siliconhive.com.
-
-
-
Hive, S.1
-
423
-
-
84882092507
-
Constraint Driven Operation Assignment for Retargetable VLIW Compilers
-
Bekoij M. Constraint Driven Operation Assignment for Retargetable VLIW Compilers. Ph.D. Dissertation 2004.
-
(2004)
Ph.D. Dissertation
-
-
Bekoij, M.1
-
425
-
-
0010947345
-
Instruction Scheduling for Instruction Level Parallel Processors
-
No. 11
-
Faraboschi P., Fisher J., Young C. Instruction Scheduling for Instruction Level Parallel Processors. Proceedings of the IEEE 2001, 1638-1659. vol. 89, No. 11.
-
(2001)
Proceedings of the IEEE
, vol.89
, pp. 1638-1659
-
-
Faraboschi, P.1
Fisher, J.2
Young, C.3
-
426
-
-
14844348900
-
Scalar Operand Networks
-
Taylor M., Lee W., Amarasinghe S., Agarwal A. Scalar Operand Networks. IEEE Transactions on Parallel and Distributed Systems 2005, Vol. 16(No.2):145-162.
-
(2005)
IEEE Transactions on Parallel and Distributed Systems
, vol.16
, Issue.2
, pp. 145-162
-
-
Taylor, M.1
Lee, W.2
Amarasinghe, S.3
Agarwal, A.4
-
428
-
-
0036949142
-
OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-chip Multiprocessors
-
Sato M. OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-chip Multiprocessors. IEEE International Symposium on System Synthesis 2002, 109-111.
-
(2002)
IEEE International Symposium on System Synthesis
, pp. 109-111
-
-
Sato, M.1
-
429
-
-
33646925675
-
A Complete Network-on-chip Emulation Framework
-
Genko N., Atienza D., De Micheli G., Mendias J., Hermida R., Catthoor F. A Complete Network-on-chip Emulation Framework. Design, Automation and Test in Europe 2005, Vol. 1:246-251.
-
(2005)
Design, Automation and Test in Europe
, vol.1
, pp. 246-251
-
-
Genko, N.1
Atienza, D.2
De Micheli, G.3
Mendias, J.4
Hermida, R.5
Catthoor, F.6
-
430
-
-
3042613682
-
Fast Exploration of Parameterized Bus Architecture for Communication-centric SoC Design
-
Shin C., et al. Fast Exploration of Parameterized Bus Architecture for Communication-centric SoC Design. Design, Automation and Test in Europe 2004, Vol. 1:352-357.
-
(2004)
Design, Automation and Test in Europe
, vol.1
, pp. 352-357
-
-
Shin, C.1
-
432
-
-
19544384566
-
Topology Optimization for Application Specific Networks on Chip
-
February
-
Ahonen T., Siguenza-Tortosa D.A., Bin H., Nurmi J. Topology Optimization for Application Specific Networks on Chip. SLIP, International Workshop on System Level Interconnect Prediction February 2004, 53-60.
-
(2004)
SLIP, International Workshop on System Level Interconnect Prediction
, pp. 53-60
-
-
Ahonen, T.1
Siguenza-Tortosa, D.A.2
Bin, H.3
Nurmi, J.4
-
433
-
-
22344451866
-
MPARM:Exploring the Multi-processor SoC Design Space with SystemC
-
Benini L., Bertozzi D., Bogliolo A., Menichelli F., Olivieri M. MPARM:Exploring the Multi-processor SoC Design Space with SystemC. Journal of VLSI Signal Processing 2005, Vol. 41:169-182.
-
(2005)
Journal of VLSI Signal Processing
, vol.41
, pp. 169-182
-
-
Benini, L.1
Bertozzi, D.2
Bogliolo, A.3
Menichelli, F.4
Olivieri, M.5
-
434
-
-
14844365666
-
NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip
-
February
-
Bertozzi D., Jalabert A., Murali S., Tamhankar R., Stergiou S., Benini L., De Micheli G. NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip. IEEE Transactions on Parallel and Distributed Systems February 2005, Vol. 16(No. 2):113-129.
-
(2005)
IEEE Transactions on Parallel and Distributed Systems
, vol.16
, Issue.2
, pp. 113-129
-
-
Bertozzi, D.1
Jalabert, A.2
Murali, S.3
Tamhankar, R.4
Stergiou, S.5
Benini, L.6
De Micheli, G.7
-
435
-
-
0344981523
-
Xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for Multi-processor SoCs
-
Dall'Osso M., Biccari G., Giovannini L., Bertozzi D., Benini L. xpipes: A Latency Insensitive Parameterized Network-on-Chip Architecture for Multi-processor SoCs. International Conference on Computer Design 2003, 536-539.
-
(2003)
International Conference on Computer Design
, pp. 536-539
-
-
Dall'Osso, M.1
Biccari, G.2
Giovannini, L.3
Bertozzi, D.4
Benini, L.5
-
437
-
-
33646405636
-
Building Predictable Systems on Chip: An Analysis of Guar-anteed Communication in the Æthereal Network on Chip
-
Chapter 1, Springer, P. van der Stok (Ed.) Dynamic and Robust Streaming In and Between Connected Consumer-Electronics Devices
-
Gangwal O.P., Rǎdulescu A., Goossens K., Pestana S.González, Rijpkema E. Building Predictable Systems on Chip: An Analysis of Guar-anteed Communication in the Æthereal Network on Chip. Philips Research Book Series 2005, 1-36. Chapter 1, Springer. P. van der Stok (Ed.).
-
(2005)
Philips Research Book Series
, pp. 1-36
-
-
Gangwal, O.P.1
Rǎdulescu, A.2
Goossens, K.3
Pestana, S.A.4
Rijpkema, E.5
-
438
-
-
33646925675
-
A Complete Network-on-Chip Emulation Framework
-
Genko N., Atienza D., Mendias J., Hermida R., De Micheli G., Catthoor F. A Complete Network-on-Chip Emulation Framework. DATE, International Conference on Design and Test Europe 2005, 246-251.
-
(2005)
DATE, International Conference on Design and Test Europe
, pp. 246-251
-
-
Genko, N.1
Atienza, D.2
Mendias, J.3
Hermida, R.4
De Micheli, G.5
Catthoor, F.6
-
439
-
-
27344448207
-
A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SoC Design and Verification
-
March
-
Goossens K., Dielissen J., Gangwal O.P., Pestana S.Gonzalez, Rǎdulescu A., Rijpkema E. A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SoC Design and Verification. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2005, 1182-1187.
-
(2005)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 1182-1187
-
-
Goossens, K.1
Dielissen, J.2
Gangwal, O.P.3
Pestana, S.G.4
Rǎdulescu, A.5
Rijpkema, E.6
-
442
-
-
84893760422
-
Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures
-
March
-
Hu J., Marculescu R. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures. DATE, International Conference on Design and Test Europe March 2003, 10688-10693.
-
(2003)
DATE, International Conference on Design and Test Europe
, pp. 10688-10693
-
-
Hu, J.1
Marculescu, R.2
-
443
-
-
3042559894
-
XpipesCom-piler: A Tool for Instantiating Application Specific Networks on Chip
-
February
-
Jalabert A., Murali S., Benini L., De Micheli G. xpipesCom-piler: A Tool for Instantiating Application Specific Networks on Chip. DATE, International Conference on Design and Test Europe February 2004, 884-889.
-
(2004)
DATE, International Conference on Design and Test Europe
, pp. 884-889
-
-
Jalabert, A.1
Murali, S.2
Benini, L.3
De Micheli, G.4
-
444
-
-
84948696213
-
A Network on Chip Architecture and Design Methodology
-
Kumar S., Jantsch A., Soininen J., Forsell M., Millberg M., Oberg J., Tiensyrj K., Hemani A. A Network on Chip Architecture and Design Methodology. Proceedings of the IEEE Computer Society Annual Symposium on VLSI 2002, 105-112.
-
(2002)
Proceedings of the IEEE Computer Society Annual Symposium on VLSI
, pp. 105-112
-
-
Kumar, S.1
Jantsch, A.2
Soininen, J.3
Forsell, M.4
Millberg, M.5
Oberg, J.6
Tiensyrj, K.7
Hemani, A.8
-
445
-
-
0038645161
-
An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip
-
Lee S.-Y., Song S.-J., Lee K., Woo J.-H., Kim S.-E., Nam B.-G., Yoo H.-J. An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip. IEEE Solid-State Circuits Conference 2003, 468-469.
-
(2003)
IEEE Solid-State Circuits Conference
, pp. 468-469
-
-
Lee, S.-Y.1
Song, S.-J.2
Lee, K.3
Woo, J.-H.4
Kim, S.-E.5
Nam, B.-G.6
Yoo, H.-J.7
-
446
-
-
22244486343
-
Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications
-
June
-
Lee S.-Y., Lee K., Song S.-J., Yoo H.J. Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications. IEEE Transactions on Circuits and Systems, Part II: Express Briefs June 2005, Vol. 52(No. 6):308-312.
-
(2005)
IEEE Transactions on Circuits and Systems, Part II: Express Briefs
, vol.52
, Issue.6
, pp. 308-312
-
-
Lee, S.-Y.1
Lee, K.2
Song, S.-J.3
Yoo, H.J.4
-
447
-
-
35248833754
-
NoC As a Hw Component of an OS for Reconfigurable Systems
-
June
-
Marescaux T., Mignolet J., Bartic A., Moffat W., Verkest D., Vernalde S., Lauwereins R. NoC As a Hw Component of an OS for Reconfigurable Systems. FPL, Field Programmable Logic, 2003 June 2004, 595-605.
-
(2004)
FPL, Field Programmable Logic, 2003
, pp. 595-605
-
-
Marescaux, T.1
Mignolet, J.2
Bartic, A.3
Moffat, W.4
Verkest, D.5
Vernalde, S.6
Lauwereins, R.7
-
448
-
-
4444335188
-
SUNMAP: A Tool for Automatic Topology Selection and Generation for NoCs
-
June
-
Murali S., De Micheli G. SUNMAP: A Tool for Automatic Topology Selection and Generation for NoCs. DAC, Design Automation Conference June 2004, 914-919.
-
(2004)
DAC, Design Automation Conference
, pp. 914-919
-
-
Murali, S.1
De Micheli, G.2
-
449
-
-
34250802588
-
Designing Message Dependent Deadlock Free Networks on Chips for Application Specific Systems on Chips
-
Murali S., Meloni P., Angiolini F., Atienza D., Carta S., Benini L., De Micheli G., Raffo L. Designing Message Dependent Deadlock Free Networks on Chips for Application Specific Systems on Chips. Proceedings of the VLSI-SoC 2006.
-
(2006)
Proceedings of the VLSI-SoC
-
-
Murali, S.1
Meloni, P.2
Angiolini, F.3
Atienza, D.4
Carta, S.5
Benini, L.6
De Micheli, G.7
Raffo, L.8
-
451
-
-
34047123275
-
A Methodology for Mapping Multiple Use-Cases on to Networks on Chip
-
March
-
Murali S., Coenen M., Rǎdulescu A., Goossens K., De Micheli G. A Methodology for Mapping Multiple Use-Cases on to Networks on Chip. DATE, International Conference on Design and Test Europe March 2006.
-
(2006)
DATE, International Conference on Design and Test Europe
-
-
Murali, S.1
Coenen, M.2
Rǎdulescu, A.3
Goossens, K.4
De Micheli, G.5
-
453
-
-
11844249902
-
An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and flexible Network Programming
-
January
-
Radulescu A., Dielissen J., Pestana S.González, Gangwal O.P., Rijpkema E., Wielage P., Goossens K. An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and flexible Network Programming. IEEE Transactions on CAD of Integrated Circuits and Systems January 2005, Vol. 21(No. 1):4-17.
-
(2005)
IEEE Transactions on CAD of Integrated Circuits and Systems
, vol.21
, Issue.1
, pp. 4-17
-
-
Radulescu, A.1
Dielissen, J.2
Pestana, S.G.3
Gangwal, O.P.4
Rijpkema, E.5
Wielage, P.6
Goossens, K.7
-
454
-
-
84893753441
-
Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip
-
March
-
Rijpkema E., Goossens K.G.W., Radulescu A., Dielissen J., van Meerbergen J., Wielage P., Waterlander E. Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE) March 2003, 350-355.
-
(2003)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 350-355
-
-
Rijpkema, E.1
Goossens, K.G.W.2
Radulescu, A.3
Dielissen, J.4
van Meerbergen, J.5
Wielage, P.6
Waterlander, E.7
-
456
-
-
27344431958
-
XpipesLite: A Synthesis-Oriented Design Flow for Networks on Chip
-
Stergios S., Angiolini F., Bertozzi D., Carta S., Raffo L., De Micheli G. xpipesLite: A Synthesis-Oriented Design Flow for Networks on Chip. DATE, International Conference on Design and Test Europe 2002, 1188-1193.
-
(2002)
DATE, International Conference on Design and Test Europe
, pp. 1188-1193
-
-
Stergios, S.1
Angiolini, F.2
Bertozzi, D.3
Carta, S.4
Raffo, L.5
De Micheli, G.6
-
458
-
-
84882096261
-
-
http://www.arm.com/products/solutions/AMBAOverview.html.
-
-
-
-
459
-
-
84882186564
-
-
http://www.st.com/stonline/prodpres/dedicate/soc/cores/stbus.htm.
-
-
-
-
460
-
-
84882178606
-
-
http://www.isi.edu/nsnam/ns/.
-
-
-
-
461
-
-
84882177187
-
-
http://www.swarm.org.
-
-
-
-
462
-
-
84882190139
-
-
http://www.ocpip.org.
-
-
-
-
463
-
-
84882230425
-
-
http://www.sonicsinc.com.
-
-
-
-
464
-
-
84882102486
-
-
http://www.vsi.org.
-
-
-
-
465
-
-
84882072012
-
-
http://www.synopsys.com/products/verification/verification.html.
-
-
-
-
466
-
-
84882149850
-
-
http://www.cadence.com/verisity/.
-
-
-
-
467
-
-
84882153817
-
-
http://www.coware.com/.
-
-
-
-
468
-
-
84882216963
-
-
http://www.synopsys.com/products/designware/core_assembler.html.
-
-
-
-
469
-
-
84882180367
-
-
http://www.arteris.com.
-
-
-
-
470
-
-
0037630655
-
A Multi-Context 6.4 Gb/s/Channel On-Chip Communication Network Using 0.18 μm Flash-EEPROM Switches and Elastic Interconnects
-
February
-
Borgatti M., et al. A Multi-Context 6.4 Gb/s/Channel On-Chip Communication Network Using 0.18 μm Flash-EEPROM Switches and Elastic Interconnects. IEEE International Solid-State Circuits Conference February 2003, 466-467.
-
(2003)
IEEE International Solid-State Circuits Conference
, pp. 466-467
-
-
Borgatti, M.1
-
471
-
-
28144456407
-
A Chip-Package Hybrid DLL Loop and Clock Distribution Network for Low-Jitter Clock Delivery
-
February
-
Chung D., et al. A Chip-Package Hybrid DLL Loop and Clock Distribution Network for Low-Jitter Clock Delivery. IEEE International Solid-State Circuits Conference February 2005, 514-515.
-
(2005)
IEEE International Solid-State Circuits Conference
, pp. 514-515
-
-
Chung, D.1
-
472
-
-
2442698800
-
A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform
-
February
-
Lee K., et al. A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform. IEEE International Solid-State Circuits Conference February 2004, 152-153.
-
(2004)
IEEE International Solid-State Circuits Conference
, pp. 152-153
-
-
Lee, K.1
-
473
-
-
16244392403
-
SILENT: Serialized Low-Energy Transmission Coding for On-Chip Interconnection Networks
-
November
-
Lee K., et al. SILENT: Serialized Low-Energy Transmission Coding for On-Chip Interconnection Networks. IEEE International Conference on Computer Aided Design November 2004, 448-451.
-
(2004)
IEEE International Conference on Computer Aided Design
, pp. 448-451
-
-
Lee, K.1
-
474
-
-
84882053342
-
An Exploration of Hierarchical Topologies for Networks-on-Chip
-
submitted to
-
Lee K., et al. An Exploration of Hierarchical Topologies for Networks-on-Chip. Design, Automation and Test in Europe Conference 2006, submitted to.
-
(2006)
Design, Automation and Test in Europe Conference
-
-
Lee, K.1
-
475
-
-
0038645161
-
An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip
-
February
-
Lee S.-J., et al. An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip. IEEE International Solid-State Circuits Conference February 2003, 468-469.
-
(2003)
IEEE International Solid-State Circuits Conference
, pp. 468-469
-
-
Lee, S.-J.1
-
476
-
-
84882053381
-
Cost-Optimized System-on-Chip Implementation with On-Chlip Network
-
Korea Advanced Institute of Science and Technology, Berlin, Germany
-
Lee S.-J. Cost-Optimized System-on-Chip Implementation with On-Chlip Network. Ph.D. thesis 2005, Korea Advanced Institute of Science and Technology, Berlin, Germany.
-
(2005)
Ph.D. thesis
-
-
Lee, S.-J.1
-
477
-
-
27344440896
-
Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
-
IEEE Symposium on VLSI Circuits, June
-
Lee S.-J., et al. Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme. Digest Technical Papers June 2005, 104-107.
-
(2005)
Digest Technical Papers
, pp. 104-107
-
-
Lee, S.-J.1
-
478
-
-
28144460105
-
A 50 Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications
-
February
-
Sohn J.-H., et al. A 50 Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications. IEEE International Solid-State Circuits Conference February 2005, 192-193.
-
(2005)
IEEE International Solid-State Circuits Conference
, pp. 192-193
-
-
Sohn, J.-H.1
-
479
-
-
2942647994
-
A 16-Issue Multiple-Program-Counter Microprocessor with Point-to-Point Scalar Operand Network
-
February
-
Talyor M.B., et al. A 16-Issue Multiple-Program-Counter Microprocessor with Point-to-Point Scalar Operand Network. IEEE International Solid-State Circuits Conference February 2003, 170-171.
-
(2003)
IEEE International Solid-State Circuits Conference
, pp. 170-171
-
-
Talyor, M.B.1
-
480
-
-
14844348900
-
Scalar Operand Networks
-
February
-
Taylor M., Lee W., Amarasinghe S., Agrawal A. Scalar Operand Networks. IEEE Transactions on Parallel and Distributed Systems February 2005, Vol. 16(No. 2):1-18.
-
(2005)
IEEE Transactions on Parallel and Distributed Systems
, vol.16
, Issue.2
, pp. 1-18
-
-
Taylor, M.1
Lee, W.2
Amarasinghe, S.3
Agrawal, A.4
-
481
-
-
0034430386
-
A 1V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications
-
February
-
Zhang H., et al. A 1V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications. IEEE International Solid-State Circuits Conference February 2000, 68-69.
-
(2000)
IEEE International Solid-State Circuits Conference
, pp. 68-69
-
-
Zhang, H.1
-
482
-
-
0033704034
-
Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness
-
June
-
Zhang H., et al. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on VLSI systems June 2000, Vol. 8:264-272.
-
(2000)
IEEE Transactions on VLSI systems
, vol.8
, pp. 264-272
-
-
Zhang, H.1
|