메뉴 건너뛰기




Volumn , Issue , 2006, Pages

Networks on Chips

Author keywords

[No Author keywords available]

Indexed keywords


EID: 85013722801     PISSN: None     EISSN: None     Source Type: Book    
DOI: 10.1016/B978-0-12-370521-1.X5000-0     Document Type: Book
Times cited : (84)

References (482)
  • 2
    • 20444496778 scopus 로고    scopus 로고
    • Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects
    • June
    • Ajami A.H., Banerjee K., Pedram M. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects. IEEE Transactions on CAD June 2005, Vol. 24(No. 6):849-861.
    • (2005) IEEE Transactions on CAD , vol.24 , Issue.6 , pp. 849-861
    • Ajami, A.H.1    Banerjee, K.2    Pedram, M.3
  • 8
    • 0027579765 scopus 로고
    • Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels
    • April
    • Dally W.J., Aoki H. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels. IEEE Transactions on Parallel and Distributed Systems April 1993, Vol. 4(No. 4):466-475.
    • (1993) IEEE Transactions on Parallel and Distributed Systems , vol.4 , Issue.4 , pp. 466-475
    • Dally, W.J.1    Aoki, H.2
  • 11
    • 15044339297 scopus 로고    scopus 로고
    • Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation
    • November-December
    • Ernst D., Das S., Lee S., Blaauw D., Austin T., Mudge T., Kim N.S., Flautner K. Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation. IEEE Micro November-December 2004, Vol. 24(No. 6):10-20.
    • (2004) IEEE Micro , vol.24 , Issue.6 , pp. 10-20
    • Ernst, D.1    Das, S.2    Lee, S.3    Blaauw, D.4    Austin, T.5    Mudge, T.6    Kim, N.S.7    Flautner, K.8
  • 17
    • 0034245046 scopus 로고    scopus 로고
    • Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise
    • August
    • Hegde R., Shanbhag N. Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise. IEEE Transactions on VLSI Systems August 2000, Vol. 8(No. 4):379-391.
    • (2000) IEEE Transactions on VLSI Systems , vol.8 , Issue.4 , pp. 379-391
    • Hegde, R.1    Shanbhag, N.2
  • 19
    • 84954421164 scopus 로고    scopus 로고
    • Energy-Aware Mapping for Tile-Based NOC Architectures Under Performance Constraints
    • Hu J., Marculescu R. Energy-Aware Mapping for Tile-Based NOC Architectures Under Performance Constraints. Asian-Pacific Design Automation Conference 2003.
    • (2003) Asian-Pacific Design Automation Conference
    • Hu, J.1    Marculescu, R.2
  • 21
    • 0035271572 scopus 로고    scopus 로고
    • Imagine: Media Processing with Streams
    • Khailany B., et al. Imagine: Media Processing with Streams. IEEE Micro 2001, Vol. 21(No. 2):35-46.
    • (2001) IEEE Micro , vol.21 , Issue.2 , pp. 35-46
    • Khailany, B.1
  • 25
    • 0033341604 scopus 로고    scopus 로고
    • Designing and Programming the Emotion Engine
    • November-December
    • Oka M., Suzuoki M. Designing and Programming the Emotion Engine. IEEE Micro November-December 1999, Vol. 19(No. 6):20-28.
    • (1999) IEEE Micro , vol.19 , Issue.6 , pp. 20-28
    • Oka, M.1    Suzuoki, M.2
  • 26
    • 31344457004 scopus 로고    scopus 로고
    • Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor
    • January
    • Pham D., et al. Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor. IEEE Journal of Solid-State Circuits January 2006, Vol. 41(No. 1):179-196.
    • (2006) IEEE Journal of Solid-State Circuits , vol.41 , Issue.1 , pp. 179-196
    • Pham, D.1
  • 28
    • 1342346134 scopus 로고    scopus 로고
    • Temperature-Aware Computer Systems: Opportunities and Challenges
    • November-December
    • Skadron K., et al. Temperature-Aware Computer Systems: Opportunities and Challenges. IEEE Micro November-December 2003, Vol. 23(No. 6):52-61.
    • (2003) IEEE Micro , vol.23 , Issue.6 , pp. 52-61
    • Skadron, K.1
  • 29
    • 0033903824 scopus 로고    scopus 로고
    • A Global Wiring Paradigm for Deep Submicron Design
    • February
    • Sylvester D., Keutzer K. A Global Wiring Paradigm for Deep Submicron Design. IEEE Transactions on CAD/ICAS February 2000, Vol. 19(No. 2):242-252.
    • (2000) IEEE Transactions on CAD/ICAS , vol.19 , Issue.2 , pp. 242-252
    • Sylvester, D.1    Keutzer, K.2
  • 31
    • 0033689943 scopus 로고    scopus 로고
    • The Future of Interconnection Technology
    • May
    • Theis T. The Future of Interconnection Technology. IBM Journal of Research and Development May 2000, Vol. 44(No. 3):379-390.
    • (2000) IBM Journal of Research and Development , vol.44 , Issue.3 , pp. 379-390
    • Theis, T.1
  • 32
    • 0031236158 scopus 로고    scopus 로고
    • Baring It All to Software: Raw Machines
    • September
    • Waingold E., et al. Baring It All to Software: Raw Machines. IEEE Computer September 1997, Vol. 30(No. 9):86-93.
    • (1997) IEEE Computer , vol.30 , Issue.9 , pp. 86-93
    • Waingold, E.1
  • 36
    • 0033704034 scopus 로고    scopus 로고
    • Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness
    • June
    • Zhang H., George V., Rabaey J. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on VLSI Systems June 2000, Vol. 8(No. 3):264-272.
    • (2000) IEEE Transactions on VLSI Systems , vol.8 , Issue.3 , pp. 264-272
    • Zhang, H.1    George, V.2    Rabaey, J.3
  • 37
    • 84882193260 scopus 로고    scopus 로고
    • http://public.itrs.net/.
  • 38
    • 0033886799 scopus 로고    scopus 로고
    • A Single Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP
    • March
    • Ackland B., et al. A Single Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP. IEEE Journal of Solid-State Circuits March 2000, Vol. 35(No. 3).
    • (2000) IEEE Journal of Solid-State Circuits , vol.35 , Issue.3
    • Ackland, B.1
  • 40
    • 0002681039 scopus 로고    scopus 로고
    • Raw Computation
    • August
    • Agrawal A. Raw Computation. Scientific American August 1999, Vol. 281(No. 2):44-47.
    • (1999) Scientific American , vol.281 , Issue.2 , pp. 44-47
    • Agrawal, A.1
  • 44
    • 0036149420 scopus 로고    scopus 로고
    • Networks on Chips: A New SoC Paradigm
    • January
    • Benini L., De Micheli G. Networks on Chips: A New SoC Paradigm. IEEE Computers January 2002, 70-78.
    • (2002) IEEE Computers , pp. 70-78
    • Benini, L.1    De Micheli, G.2
  • 45
    • 1242309790 scopus 로고    scopus 로고
    • QNoC: QoS Architecture and Design Process for Network on Chip
    • February
    • Bolotin E., Cidon I., Ginosaur R., Kolodny A. QNoC: QoS Architecture and Design Process for Network on Chip. Journal of System Architecture February 2004, Vol. 50(No. 2-3):105-128.
    • (2004) Journal of System Architecture , vol.50 , Issue.2-3 , pp. 105-128
    • Bolotin, E.1    Cidon, I.2    Ginosaur, R.3    Kolodny, A.4
  • 47
    • 0032597714 scopus 로고    scopus 로고
    • An Efficient Bus Architecture for System-on-Chip Design
    • Cordan B. An Efficient Bus Architecture for System-on-Chip Design. IEEE Custom Integrated Circuits Conference 1999, 623-626.
    • (1999) IEEE Custom Integrated Circuits Conference , pp. 623-626
    • Cordan, B.1
  • 49
    • 62349086227 scopus 로고
    • Express Cubes: Improving the Performance of K-ary n-cube Inter-connection Networks
    • September
    • Dally W. Express Cubes: Improving the Performance of K-ary n-cube Inter-connection Networks. IEEE Transactions on Computers September 1991, Vol. 40(No. 9):1016-1023.
    • (1991) IEEE Transactions on Computers , vol.40 , Issue.9 , pp. 1016-1023
    • Dally, W.1
  • 54
    • 84891434744 scopus 로고    scopus 로고
    • Interconnect and Memory Organization in SoCs for Advanced Set-Top Boxes and TV -Evolution, Analysis and Trends
    • Chapter 15, Kluwer, San Francisco, CA, J. Nurmi, H. Tenhunen, J. Isoahao, A. Jantcsh (Eds.)
    • Goossens K., Gangwal O., Roever J., Niranjan A. Interconnect and Memory Organization in SoCs for Advanced Set-Top Boxes and TV -Evolution, Analysis and Trends. Interconnect-Centric Design for Advanced SoC and NoC 2004, 399-423. Chapter 15, Kluwer, San Francisco, CA. J. Nurmi, H. Tenhunen, J. Isoahao, A. Jantcsh (Eds.).
    • (2004) Interconnect-Centric Design for Advanced SoC and NoC , pp. 399-423
    • Goossens, K.1    Gangwal, O.2    Roever, J.3    Niranjan, A.4
  • 59
    • 0022141776 scopus 로고
    • Fat-Trees: Universal Networks for Hardware-Efficient Super-computing
    • October
    • Leiserson C. Fat-Trees: Universal Networks for Hardware-Efficient Super-computing. IEEE Transactions on Computers October 1985, Vol. 34(No. 10):892-901.
    • (1985) IEEE Transactions on Computers , vol.34 , Issue.10 , pp. 892-901
    • Leiserson, C.1
  • 60
    • 33646944677 scopus 로고    scopus 로고
    • An Application-Specific Design Methodology for STbus Crossbar Generation
    • Murali S., De Micheli G. An Application-Specific Design Methodology for STbus Crossbar Generation. DATE - Design, Automation and Test in Europe 2005, Vol. 2:1176-1181.
    • (2005) DATE - Design, Automation and Test in Europe , vol.2 , pp. 1176-1181
    • Murali, S.1    De Micheli, G.2
  • 63
    • 0032303326 scopus 로고    scopus 로고
    • On-chip Bus Structure for Custom Core Logic Design
    • Remaklus W. On-chip Bus Structure for Custom Core Logic Design. IEEE Wescon 1998, 7-14.
    • (1998) IEEE Wescon , pp. 7-14
    • Remaklus, W.1
  • 67
    • 0034841440 scopus 로고    scopus 로고
    • MicroNetwork-based integration for SOCs
    • Wingard D. MicroNetwork-based integration for SOCs. Design Automation Conference 2001, 673-677.
    • (2001) Design Automation Conference , pp. 673-677
    • Wingard, D.1
  • 69
    • 84882048040 scopus 로고    scopus 로고
    • http://www.ocpip.org/.
  • 70
    • 84882121867 scopus 로고    scopus 로고
    • http://www.sonicsinc.com.
  • 71
    • 84882175297 scopus 로고    scopus 로고
    • http://www.silistix.com.
  • 72
    • 84882090055 scopus 로고    scopus 로고
    • http://www.arm.com.
  • 73
    • 84882147729 scopus 로고    scopus 로고
    • http://www.arm.com/products/CPUs/ARM7TDMI.html.
  • 75
    • 0042420599 scopus 로고    scopus 로고
    • Current-Mode Signaling in Deep Submicrometer Global Interconnects
    • June
    • Bashirullah R., et al. Current-Mode Signaling in Deep Submicrometer Global Interconnects. IEEE Transactions on VLSI Systems June 2003, Vol. 11(No. 3):406-417.
    • (2003) IEEE Transactions on VLSI Systems , vol.11 , Issue.3 , pp. 406-417
    • Bashirullah, R.1
  • 76
    • 0030644909 scopus 로고    scopus 로고
    • Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems
    • March
    • Benini L., et al. Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems. Proceedings of the Great Lakes Symposium on VLSI March 1997, 77-82.
    • (1997) Proceedings of the Great Lakes Symposium on VLSI , pp. 77-82
    • Benini, L.1
  • 77
    • 0026853678 scopus 로고
    • A High-Speed Sensing Scheme for 1T Dynamic RAM's Utilizing the Clamped Bit-Line Sense Amplifier
    • April
    • Blalock T., et al. A High-Speed Sensing Scheme for 1T Dynamic RAM's Utilizing the Clamped Bit-Line Sense Amplifier. IEEE Journal of Solid-State Circuits April 1992, Vol. 27:618-625.
    • (1992) IEEE Journal of Solid-State Circuits , vol.27 , pp. 618-625
    • Blalock, T.1
  • 78
    • 0022783949 scopus 로고
    • Transmission Line Models for Lossy Waveguide Interconnec-tions in VLSI
    • September
    • Brews J.R. Transmission Line Models for Lossy Waveguide Interconnec-tions in VLSI. IEEE Transactions on Electron Devices September 1986, Vol. ED-33(No. 9):1356-1365.
    • (1986) IEEE Transactions on Electron Devices , vol.ED-33 , Issue.9 , pp. 1356-1365
    • Brews, J.R.1
  • 86
    • 84882234474 scopus 로고    scopus 로고
    • Modeling Techniques for Energy-Efficient System-on-a-Chip Signaling
    • January
    • Dhaou I.B., Tenhunen H. Modeling Techniques for Energy-Efficient System-on-a-Chip Signaling. IEEE Circuits and Devices January 2003, Vol. 19(No. 1):8-17.
    • (2003) IEEE Circuits and Devices , vol.19 , Issue.1 , pp. 8-17
    • Dhaou, I.B.1    Tenhunen, H.2
  • 87
    • 0038005367 scopus 로고    scopus 로고
    • Current Mode, Low-Power, On-Chip Signaling in Deep-Submicron CMOS Technology
    • March
    • Dhaou I.B., et al. Current Mode, Low-Power, On-Chip Signaling in Deep-Submicron CMOS Technology. IEEE Transactions on Circuit and Systems-I March 2003, Vol. 50(No. 3):397-406.
    • (2003) IEEE Transactions on Circuit and Systems-I , vol.50 , Issue.3 , pp. 397-406
    • Dhaou, I.B.1
  • 88
    • 0036857244 scopus 로고    scopus 로고
    • A Fully Bypassed Six-Issue Integer Datapath and Register File on the Itanium-2 Microprocessor
    • November
    • Fetzer E.S., et al. A Fully Bypassed Six-Issue Integer Datapath and Register File on the Itanium-2 Microprocessor. IEEE Journal of Solid-State Circuits November 2002, Vol. 37(No. 11):1433-1440.
    • (2002) IEEE Journal of Solid-State Circuits , vol.37 , Issue.11 , pp. 1433-1440
    • Fetzer, E.S.1
  • 90
    • 0024629335 scopus 로고
    • Modeling of Picosecond Pulse Propagation in Microstrip Interconnections on Integrated Circuits
    • March
    • Goossen K.W., Hammond R.B. Modeling of Picosecond Pulse Propagation in Microstrip Interconnections on Integrated Circuits. IEEE Transactions on Microwave Theory Technology March 1989, Vol. 37(No. 3):469-478.
    • (1989) IEEE Transactions on Microwave Theory Technology , vol.37 , Issue.3 , pp. 469-478
    • Goossen, K.W.1    Hammond, R.B.2
  • 91
    • 24144490066 scopus 로고    scopus 로고
    • Designing and Implementing a Fast Crossbar Scheduler
    • January-February
    • Gupta P., et al. Designing and Implementing a Fast Crossbar Scheduler. IEEE Micro January-February 1999, Vol. 19:20-28.
    • (1999) IEEE Micro , vol.19 , pp. 20-28
    • Gupta, P.1
  • 92
    • 0029289214 scopus 로고
    • Data-Dependent Logic Swing Internal Bus Architecture for Ultralow-Power LSI's
    • April
    • Hiraki M., et al. Data-Dependent Logic Swing Internal Bus Architecture for Ultralow-Power LSI's. IEEE Journal of Solid-State Circuits April 1995, Vol. 30:397-402.
    • (1995) IEEE Journal of Solid-State Circuits , vol.30 , pp. 397-402
    • Hiraki, M.1
  • 93
    • 0141538149 scopus 로고    scopus 로고
    • Efficient On-Chip Global Interconnects
    • June 2003, IEEE Symposium on VLSI Circuits
    • Ho R., et al. Efficient On-Chip Global Interconnects. Digest of Technical Papers 2003, 271-274. June 2003.
    • (2003) Digest of Technical Papers , pp. 271-274
    • Ho, R.1
  • 94
    • 2442653861 scopus 로고    scopus 로고
    • How Scaling Will Change Processor Architecture
    • February 2004, International Solid-State Circuits Conference
    • Horowitz M., Dally W. How Scaling Will Change Processor Architecture. Digest of Technical Papers 2004, 132-133. February 2004.
    • (2004) Digest of Technical Papers , pp. 132-133
    • Horowitz, M.1    Dally, W.2
  • 95
    • 84882197443 scopus 로고    scopus 로고
    • http://public.itrs.net/files/2003ITRS/Home2003.htm.
  • 96
    • 0030121501 scopus 로고    scopus 로고
    • A Current Direction Sense Technique for Multiport SRAM
    • April
    • Izumikawa M., et al. A Current Direction Sense Technique for Multiport SRAM. IEEE Journal of Solid-State Circuits April 1996, Vol. 31(No. 4):546-551.
    • (1996) IEEE Journal of Solid-State Circuits , vol.31 , Issue.4 , pp. 546-551
    • Izumikawa, M.1
  • 98
    • 27844556591 scopus 로고    scopus 로고
    • Near Speed-of-Light On-Chip Interconnects Using Pulsed Current-Mode Signaling
    • Digest of Technical Papers
    • Jose A.P., et al. Near Speed-of-Light On-Chip Interconnects Using Pulsed Current-Mode Signaling. Symposium on VLSI Circuits 2005, 108-111. Digest of Technical Papers.
    • (2005) Symposium on VLSI Circuits , pp. 108-111
    • Jose, A.P.1
  • 99
    • 28144454462 scopus 로고    scopus 로고
    • 40 Gb/s 4:1 MUX/1:4 DEMUX in 90 nm Standard CMOS
    • International Solid-State Circuits Conference
    • Kanda K., et al. 40 Gb/s 4:1 MUX/1:4 DEMUX in 90 nm Standard CMOS. Digest of Technical Papers 2005, 152-153.
    • (2005) Digest of Technical Papers , pp. 152-153
    • Kanda, K.1
  • 101
    • 0010917424 scopus 로고    scopus 로고
    • Interconnect and Noise Immunity Design for the Pentium 4 Processor
    • Ql
    • Kumar R. Interconnect and Noise Immunity Design for the Pentium 4 Processor. Intel Technology Journal 2001, Vol. 5. Ql. http://www.developer.intel.com/technology/itj/archive/2001.htm.
    • (2001) Intel Technology Journal , vol.5
    • Kumar, R.1
  • 102
    • 34548820226 scopus 로고    scopus 로고
    • A High-Speed and Lightweight On-Chip Crossbar Scheduler for On-Chip Interconnection Networks
    • Lee K., et al. A High-Speed and Lightweight On-Chip Crossbar Scheduler for On-Chip Interconnection Networks. Proceedings of the IEEE European Solid-State Circuits Conference 2003, 453-456.
    • (2003) Proceedings of the IEEE European Solid-State Circuits Conference , pp. 453-456
    • Lee, K.1
  • 104
    • 2442698800 scopus 로고    scopus 로고
    • A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform
    • February 2004, IEEE International Solid-State Circuits Conference
    • Lee K., et al. A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform. Digest of Technical Papers 2004, 152-153. February 2004.
    • (2004) Digest of Technical Papers , pp. 152-153
    • Lee, K.1
  • 105
    • 16244392403 scopus 로고    scopus 로고
    • SILENT: Serialized Low Energy Transmission Coding for On-Chip Interconnection Networks
    • Lee K., et al. SILENT: Serialized Low Energy Transmission Coding for On-Chip Interconnection Networks. Proceedings of the International Conference on Computer Aided Design 2004, 448-451.
    • (2004) Proceedings of the International Conference on Computer Aided Design , pp. 448-451
    • Lee, K.1
  • 106
    • 33645011974 scopus 로고    scopus 로고
    • Low-Power Network-on-Chip for High-Performance SoC Design
    • Lee K., et al. Low-Power Network-on-Chip for High-Performance SoC Design. IEEE Transactions on VLSI Systems 2006, 148-160.
    • (2006) IEEE Transactions on VLSI Systems , pp. 148-160
    • Lee, K.1
  • 107
    • 0021472075 scopus 로고
    • An Analysis of Interconnect Aline Capacitance and Coupling for VLSI Circuits
    • Lewis E.T. An Analysis of Interconnect Aline Capacitance and Coupling for VLSI Circuits. Solid-State Electronics 1994, Vol. 27(No. 8/9):741-749.
    • (1994) Solid-State Electronics , vol.27 , Issue.8-9 , pp. 741-749
    • Lewis, E.T.1
  • 109
    • 0035058593 scopus 로고    scopus 로고
    • Elastic Interconnects: Repeater-Inserted Long Wiring Capable of Compressing and Decompressing Data
    • International Solid-State Circuits Conference
    • Mizuno M., et al. Elastic Interconnects: Repeater-Inserted Long Wiring Capable of Compressing and Decompressing Data. Digest of Technical Papers 2001, 346-347.
    • (2001) Digest of Technical Papers , pp. 346-347
    • Mizuno, M.1
  • 112
    • 0027575799 scopus 로고
    • Sub-l-V Swing Internal Bus Architecture for Future Low-Power ULSI's
    • April
    • Nakagome Y., et al. Sub-l-V Swing Internal Bus Architecture for Future Low-Power ULSI's. IEEE Journal of Solid-State Circuits April 1993, Vol. 28:414-419.
    • (1993) IEEE Journal of Solid-State Circuits , vol.28 , pp. 414-419
    • Nakagome, Y.1
  • 114
    • 0032628047 scopus 로고    scopus 로고
    • A Coding Framework for Low-Power Address and Data Busses
    • June
    • Ramprasad S., et al. A Coding Framework for Low-Power Address and Data Busses. IEEE Transactions on VLSI Systems June 1999, Vol. 7:212-221.
    • (1999) IEEE Transactions on VLSI Systems , vol.7 , pp. 212-221
    • Ramprasad, S.1
  • 115
    • 0020704286 scopus 로고
    • Simple Formulas for Two- and Three-Dimensional Capacitances
    • February
    • Sakurai T., Tamura K. Simple Formulas for Two- and Three-Dimensional Capacitances. IEEE Transactions on Electron Devices February 1983, Vol. ED-30(No. 2):183-185.
    • (1983) IEEE Transactions on Electron Devices , vol.ED-30 , Issue.2 , pp. 183-185
    • Sakurai, T.1    Tamura, K.2
  • 116
    • 0026141225 scopus 로고
    • Current-Mode Techniques for High-Speed VLSI Circuits with Application to Current Sense Amplifier for CMOS SRAM s
    • April
    • Seevinck E., et al. Current-Mode Techniques for High-Speed VLSI Circuits with Application to Current Sense Amplifier for CMOS SRAM s. IEEE Journal of Solid-State Circuits April 1991, Vol. 26:525-536.
    • (1991) IEEE Journal of Solid-State Circuits , vol.26 , pp. 525-536
    • Seevinck, E.1
  • 117
    • 0035472993 scopus 로고    scopus 로고
    • Narrow Bus Encoding for Low-Power DSP Systems
    • October
    • Shin Y., et al. Narrow Bus Encoding for Low-Power DSP Systems. IEEE Transactions on VLSI Systems October 2001, Vol. 9:656-660.
    • (2001) IEEE Transactions on VLSI Systems , vol.9 , pp. 656-660
    • Shin, Y.1
  • 120
    • 0038645161 scopus 로고    scopus 로고
    • An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip
    • IEEE International Solid-State Circuits Conference, February
    • Lee S.-J., et al. An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip. Digest of Technical Papers February 2003, 468-469.
    • (2003) Digest of Technical Papers , pp. 468-469
    • Lee, S.-J.1
  • 121
    • 22244486343 scopus 로고    scopus 로고
    • Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications
    • June
    • Lee S.-J., et al. Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications. IEEE Transactions on Circuits and Systems II June 2005, Vol. 52:308-312.
    • (2005) IEEE Transactions on Circuits and Systems II , vol.52 , pp. 308-312
    • Lee, S.-J.1
  • 122
    • 27344440896 scopus 로고    scopus 로고
    • Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
    • June 2005, IEEE Symposium on VLSI Circuits
    • Lee S.-J., et al. Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme. Digest of Technical Papers 2005, 104-107. June 2005.
    • (2005) Digest of Technical Papers , pp. 104-107
    • Lee, S.-J.1
  • 123
    • 35048834531 scopus 로고
    • Bus-Invert Coding for Low-Power I/O
    • March
    • Stan M.R., et al. Bus-Invert Coding for Low-Power I/O. IEEE Transactions on VLSI Systems March 1995, Vol. 3:49-58.
    • (1995) IEEE Transactions on VLSI Systems , vol.3 , pp. 49-58
    • Stan, M.R.1
  • 124
    • 0035392122 scopus 로고    scopus 로고
    • Optimum Voltage Swing on On-Chip and Off-Chip Interconnect
    • July
    • Svensson C. Optimum Voltage Swing on On-Chip and Off-Chip Interconnect. IEEE Journal of Solid-State Circuits July 2001, Vol. 36:1108-1112.
    • (2001) IEEE Journal of Solid-State Circuits , vol.36 , pp. 1108-1112
    • Svensson, C.1
  • 129
    • 13144293111 scopus 로고    scopus 로고
    • A Robust Self-Calibrating Transmission Scheme for On-Chip Networks
    • January
    • Worm F., et al. A Robust Self-Calibrating Transmission Scheme for On-Chip Networks. IEEE Transactions on VLSI Systems January 2005, Vol. 13:126-139.
    • (2005) IEEE Transactions on VLSI Systems , vol.13 , pp. 126-139
    • Worm, F.1
  • 130
    • 0029289258 scopus 로고
    • An Asymptotically Zero Power Charge-Recycling Bus Architecture for Battery-Operated Ultrahigh Data Rate ULSI's
    • April
    • Yamauchi H., et al. An Asymptotically Zero Power Charge-Recycling Bus Architecture for Battery-Operated Ultrahigh Data Rate ULSI's. IEEE Journal of Solid-State Circuits April 1995, Vol. 30:423-431.
    • (1995) IEEE Journal of Solid-State Circuits , vol.30 , pp. 423-431
    • Yamauchi, H.1
  • 131
    • 0033704034 scopus 로고    scopus 로고
    • Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness
    • June
    • Zhang H., et al. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on VLSI Systems June 2000, Vol. 8:264-272.
    • (2000) IEEE Transactions on VLSI Systems , vol.8 , pp. 264-272
    • Zhang, H.1
  • 142
    • 0032639195 scopus 로고    scopus 로고
    • Weight-Based Codes and their Applications to Concurrent Error Detection of Multilevel Circuits
    • Das D., Touba N. Weight-Based Codes and their Applications to Concurrent Error Detection of Multilevel Circuits. Proceedings of the VLSI Test Symposium 1999, 370-376.
    • (1999) Proceedings of the VLSI Test Symposium , pp. 370-376
    • Das, D.1    Touba, N.2
  • 143
    • 84893712777 scopus 로고    scopus 로고
    • Optimization of Error Detecting Codes for the Detection of Crosstalk Originated Errors
    • March
    • Favalli M., Metra C. Optimization of Error Detecting Codes for the Detection of Crosstalk Originated Errors. Proceedings of the DATE March 2001, 290-296.
    • (2001) Proceedings of the DATE , pp. 290-296
    • Favalli, M.1    Metra, C.2
  • 151
    • 0030246415 scopus 로고    scopus 로고
    • Switching Codes for Delta-I Noise Reduction
    • September
    • Chen C.L., Curran B.W. Switching Codes for Delta-I Noise Reduction. IEEE Transaction on Computers September 1996, 1017-1021.
    • (1996) IEEE Transaction on Computers , pp. 1017-1021
    • Chen, C.L.1    Curran, B.W.2
  • 152
    • 0038645647 scopus 로고    scopus 로고
    • No Exponential is Forever: But 'Forever' Can Be Delayed!
    • Moore G.E. No Exponential is Forever: But 'Forever' Can Be Delayed!. Proceedings of the ISSCC 2003, Vol. 1:20-23.
    • (2003) Proceedings of the ISSCC , vol.1 , pp. 20-23
    • Moore, G.E.1
  • 153
    • 0034245046 scopus 로고    scopus 로고
    • Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise
    • August
    • Hedge R., Shanbhag N.R. Toward Achieving Energy Efficiency in Presence of Deep Submicron Noise. IEEE Transactions on - VLSI Systems August 2000, Vol. 8(No. 4):379-391.
    • (2000) IEEE Transactions on - VLSI Systems , vol.8 , Issue.4 , pp. 379-391
    • Hedge, R.1    Shanbhag, N.R.2
  • 157
    • 23744468720 scopus 로고    scopus 로고
    • Coding for System-on-Chip Networks: A Unified Framework
    • June
    • Sridhara S.R., Shanbhag N.R. Coding for System-on-Chip Networks: A Unified Framework. IEEE Transactions on VLSI Systems June 2005, Vol. 13(No. 6):655-667.
    • (2005) IEEE Transactions on VLSI Systems , vol.13 , Issue.6 , pp. 655-667
    • Sridhara, S.R.1    Shanbhag, N.R.2
  • 159
    • 10444240445 scopus 로고    scopus 로고
    • Quality-of-Service and Error Control Techniques for Mesh-Based Network-on-Chip Architectures
    • Vellanki P., Banerjee N., Chatha K.S. Quality-of-Service and Error Control Techniques for Mesh-Based Network-on-Chip Architectures. INTEGRATION, the VLSI Journal 2005, Vol. 38:353-382.
    • (2005) INTEGRATION, the VLSI Journal , vol.38 , pp. 353-382
    • Vellanki, P.1    Banerjee, N.2    Chatha, K.S.3
  • 161
    • 1142287741 scopus 로고    scopus 로고
    • A Fault Model Notation and Error-Control Scheme for Switch-to-Switch Buses in a Network-on-Chip
    • Zimmer H., Jantsch A. A Fault Model Notation and Error-Control Scheme for Switch-to-Switch Buses in a Network-on-Chip. CODES ISSS 2003 2003, 188-193.
    • (2003) CODES ISSS 2003 , pp. 188-193
    • Zimmer, H.1    Jantsch, A.2
  • 180
    • 0345666024 scopus 로고    scopus 로고
    • Interconnect Modelling and Optimization in Deep Submicron Technologies
    • Massachusetts Institute of Technology, Norwell, MA, May
    • Sotiriadis P.P. Interconnect Modelling and Optimization in Deep Submicron Technologies. Ph.D. dissertation May 2002, Massachusetts Institute of Technology, Norwell, MA.
    • (2002) Ph.D. dissertation
    • Sotiriadis, P.P.1
  • 184
  • 188
    • 1842582489 scopus 로고    scopus 로고
    • Making Typical Silicon Matter with Razor
    • March
    • Austin T., Blaauw D., Mudge T., Flautner K. Making Typical Silicon Matter with Razor. IEEE Computer March 2004, Vol. 37(No. 3):57-65.
    • (2004) IEEE Computer , vol.37 , Issue.3 , pp. 57-65
    • Austin, T.1    Blaauw, D.2    Mudge, T.3    Flautner, K.4
  • 193
    • 84882088841 scopus 로고    scopus 로고
    • The Nostrum Protocol Stack and Suggested Services Provided by the Nostrum Backbone
    • LECS, IMIT, KTH, Cambridge
    • Millberg M. The Nostrum Protocol Stack and Suggested Services Provided by the Nostrum Backbone. Internal Report in Electronic System Design TRITA-IMIT-LECSR02:01 2003, LECS, IMIT, KTH, Cambridge.
    • (2003) Internal Report in Electronic System Design TRITA-IMIT-LECSR02:01
    • Millberg, M.1
  • 205
    • 13244299134 scopus 로고    scopus 로고
    • Error-Detection Codes: Algorithms and Fast Implementation
    • January
    • Nguyen G.D. Error-Detection Codes: Algorithms and Fast Implementation. IEEE Transactions on Computers January 2005, Vol. 54(No. 1):1-11.
    • (2005) IEEE Transactions on Computers , vol.54 , Issue.1 , pp. 1-11
    • Nguyen, G.D.1
  • 206
    • 0025497632 scopus 로고
    • Parallel CRC Generation
    • October
    • Albertango G., Sisto R. Parallel CRC Generation. IEEE Micro October 1990, Vol. 10(No. 5):63-71.
    • (1990) IEEE Micro , vol.10 , Issue.5 , pp. 63-71
    • Albertango, G.1    Sisto, R.2
  • 208
    • 0033079279 scopus 로고    scopus 로고
    • A High-Performance CMOS 32-Bit Parallel CRC Engine
    • February
    • Hobson R.F., Cheung K.L A High-Performance CMOS 32-Bit Parallel CRC Engine. IEEE Journal Solid State Circuits February 1999, Vol. 34(No. 2):233-235.
    • (1999) IEEE Journal Solid State Circuits , vol.34 , Issue.2 , pp. 233-235
    • Hobson, R.F.1    Cheung, K.L.2
  • 210
    • 84882104121 scopus 로고    scopus 로고
    • http://www.st.com/stonline/prodpres/dedicate/soc/cores/stbus.htm.
  • 211
    • 51049096193 scopus 로고    scopus 로고
    • Networks on Chip: A New Paradigm for Component-Based MPSoC Design
    • Morgan Kaufmann, Stockholm, Sweden, A. Jerrraya, W. Wolf (Eds.)
    • Benini L., De Micheli G. Networks on Chip: A New Paradigm for Component-Based MPSoC Design. Multiprocessors Systems on Chips 2004, 49-80. Morgan Kaufmann, Stockholm, Sweden. A. Jerrraya, W. Wolf (Eds.).
    • (2004) Multiprocessors Systems on Chips , pp. 49-80
    • Benini, L.1    De Micheli, G.2
  • 214
    • 0032218996 scopus 로고    scopus 로고
    • Centralized Contention Resolution Schemes for a Larger-Capacity Optical ATM Switch
    • Chao H.J., Park J.S. Centralized Contention Resolution Schemes for a Larger-Capacity Optical ATM Switch. Proceedings of the IEEE ATM Workshop 1998, 11-16.
    • (1998) Proceedings of the IEEE ATM Workshop , pp. 11-16
    • Chao, H.J.1    Park, J.S.2
  • 216
    • 84882064603 scopus 로고    scopus 로고
    • AMBA AHB Specification, Rev.2.0, ARM
    • AMBA AHB Specification, Rev.2.0, ARM, 1999.
    • (1999)
  • 217
    • 0027612155 scopus 로고
    • Optimization of Cyclic Redundancy-Check Codes with 24 and 32 Parity Bits
    • June
    • Castagnoli G., Brauer S., Herrmann M. Optimization of Cyclic Redundancy-Check Codes with 24 and 32 Parity Bits. IEEE Transactions on Communications June 1993, Vol. 41(No. 6):883-892.
    • (1993) IEEE Transactions on Communications , vol.41 , Issue.6 , pp. 883-892
    • Castagnoli, G.1    Brauer, S.2    Herrmann, M.3
  • 219
    • 0024056648 scopus 로고
    • A Tutorial on CRC Computations
    • August
    • Ramabadran T.V., Gaitonde S.S. A Tutorial on CRC Computations. IEEE Micro August 1988, Vol. 8(No. 4):62-75.
    • (1988) IEEE Micro , vol.8 , Issue.4 , pp. 62-75
    • Ramabadran, T.V.1    Gaitonde, S.S.2
  • 221
    • 84882154854 scopus 로고    scopus 로고
    • http://www.arm.com/products/solutions/axi_spec.html.
  • 222
    • 0003487731 scopus 로고
    • ATM Forum, July, Prentice Hall, San Francisco, CA, Version 3.1.
    • ATM Forum ATM User-Network Interface Specification July 1994, Prentice Hall, San Francisco, CA, Version 3.1.
    • (1994) ATM User-Network Interface Specification
  • 224
    • 4043150092 scopus 로고    scopus 로고
    • Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip
    • Bertozzi D., Benini L. Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip. IEEE Circuits and Systems Magazine 2004, Vol. 4(No. 2):18-31.
    • (2004) IEEE Circuits and Systems Magazine , vol.4 , Issue.2 , pp. 18-31
    • Bertozzi, D.1    Benini, L.2
  • 225
    • 33745794207 scopus 로고    scopus 로고
    • The MANGO Clockless Network-on-Chip: Concepts and Implementation
    • Informatics and Mathematical Modelling, Technical University of Denmark, DTU, Upper Saddle River, NJ
    • Bjerregaard T. The MANGO Clockless Network-on-Chip: Concepts and Implementation. Ph.D. thesis 2006, Informatics and Mathematical Modelling, Technical University of Denmark, DTU, Upper Saddle River, NJ.
    • (2006) Ph.D. thesis
    • Bjerregaard, T.1
  • 232
    • 1242309790 scopus 로고    scopus 로고
    • QNoC: QoS Architecture and Design Process for Network on Chip
    • Special issue on Networks on Chip, February
    • Bolotin E., Cidon I., Ginosar R., Kolodny A. QNoC: QoS Architecture and Design Process for Network on Chip. Journal of Systems Architecture February 2004, Vol. 50(No. 2-3):105-128. Special issue on Networks on Chip.
    • (2004) Journal of Systems Architecture , vol.50 , Issue.2-3 , pp. 105-128
    • Bolotin, E.1    Cidon, I.2    Ginosar, R.3    Kolodny, A.4
  • 235
    • 84882134268 scopus 로고    scopus 로고
    • Integrated Services in the Internet Architecture: An Overview
    • RFC 1633, Available at, June
    • Braden R., Clark D., Shenker S. Integrated Services in the Internet Architecture: An Overview. Internet Drafts June 1996, RFC 1633, Available at. http://www.rfc_archive.org/getrfc.phprfc=1633.
    • (1996) Internet Drafts
    • Braden, R.1    Clark, D.2    Shenker, S.3
  • 238
    • 0023132595 scopus 로고
    • Local Distributed Deadlock Detection by Cycle Detection and Clustering
    • Cidon I., Jaffe F.M., Sidi M. Local Distributed Deadlock Detection by Cycle Detection and Clustering. IEEE Transactions on Software Engineering 1987, Vol. 13(No. 1):3-14.
    • (1987) IEEE Transactions on Software Engineering , vol.13 , Issue.1 , pp. 3-14
    • Cidon, I.1    Jaffe, F.M.2    Sidi, M.3
  • 239
    • 0023452968 scopus 로고
    • Distributed Store-and-Forward Deadlock Detection and Resolution Algorithms
    • Cidon I., Jaffe J.M., Sidi M. Distributed Store-and-Forward Deadlock Detection and Resolution Algorithms. IEEE Transactions on Communication 1987, Vol. 35(No. 11):1139-1145.
    • (1987) IEEE Transactions on Communication , vol.35 , Issue.11 , pp. 1139-1145
    • Cidon, I.1    Jaffe, J.M.2    Sidi, M.3
  • 240
    • 67649924350 scopus 로고    scopus 로고
    • Zooming in on Network on Chip Architectures
    • December
    • Cidon I., Keidar I. Zooming in on Network on Chip Architectures. Technion, CCIT Report December 2005, Vol. 565(No. 5).
    • (2005) Technion, CCIT Report , vol.565 , Issue.5
    • Cidon, I.1    Keidar, I.2
  • 244
    • 62349086227 scopus 로고
    • Express Cubes: Improving the Performance of k-ary n-cube Interconnection Networks
    • September
    • Dally W.J. Express Cubes: Improving the Performance of k-ary n-cube Interconnection Networks. IEEE Transactions on Computers September 1991, Vol. 40(No. 9):1016-1023.
    • (1991) IEEE Transactions on Computers , vol.40 , Issue.9 , pp. 1016-1023
    • Dally, W.J.1
  • 246
    • 84862136297 scopus 로고
    • Adaptive routing using virtual channels
    • September, Laboratory for Computer Science, MIT, San Francisco, CA, USA
    • Dally W.J., Aoki H. Adaptive routing using virtual channels. Technical Report September 1990, Laboratory for Computer Science, MIT, San Francisco, CA, USA.
    • (1990) Technical Report
    • Dally, W.J.1    Aoki, H.2
  • 247
    • 0027579765 scopus 로고
    • Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels
    • April
    • Dally W.J., Aoki H. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels. IEEE Transactions on Parallel and Distributed Systems April 1993, Vol. 4(No. 4):466-475.
    • (1993) IEEE Transactions on Parallel and Distributed Systems , vol.4 , Issue.4 , pp. 466-475
    • Dally, W.J.1    Aoki, H.2
  • 248
    • 0023346637 scopus 로고
    • Deadlock-Free Message Routing in Multiprocessor Interconnection Networks
    • May
    • Dally W.J., Seitz C.L. Deadlock-Free Message Routing in Multiprocessor Interconnection Networks. IEEE Transactions on Computers May 1987, Vol. 36(No. 5):547-553.
    • (1987) IEEE Transactions on Computers , vol.36 , Issue.5 , pp. 547-553
    • Dally, W.J.1    Seitz, C.L.2
  • 250
    • 84882190416 scopus 로고
    • High-speed network design for large-scale
    • September, Massachusetts Institute of Technology, Artificial Intelligence Laboratory, Cambridge, Massachusetts
    • DeHon A., Robust high-speed network design for large-scale. Multiprocessing, A.I. Technical report 1445 September 1993, Massachusetts Institute of Technology, Artificial Intelligence Laboratory, Cambridge, Massachusetts.
    • (1993) Multiprocessing, A.I. Technical report 1445
    • DeHon, A.1    Robust2
  • 256
    • 33646405636 scopus 로고    scopus 로고
    • Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Æthereal Network on Chip
    • Chapter 1, Springer, San Francisco, CA, P. van der Stok (Ed.) Dynamic and Robust Streaming in and Between Connected Consumer-Electronics Devices
    • Gangwal O.P., Radulescu A., Goossens K., Pestana S.González, Rijpkema E. Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Æthereal Network on Chip. Philips Research Book Series 2005, 1-36. Chapter 1, Springer, San Francisco, CA. P. van der Stok (Ed.).
    • (2005) Philips Research Book Series , pp. 1-36
    • Gangwal, O.P.1    Radulescu, A.2    Goossens, K.3    Pestana, S.G.4    Rijpkema, E.5
  • 257
  • 258
    • 84882127901 scopus 로고    scopus 로고
    • NoC architecture for future fpgas, Department of EE
    • March, Technion, Berlin, Germany
    • Gindin R., Cidon I., Keidar I. NoC architecture for future fpgas, Department of EE. CCIT Report 579 March 2006, Technion, Berlin, Germany.
    • (2006) CCIT Report 579
    • Gindin, R.1    Cidon, I.2    Keidar, I.3
  • 260
    • 0028513557 scopus 로고
    • The Turn Model for Adaptive Routing
    • September
    • Glass C.J., Ni L.M. The Turn Model for Adaptive Routing. Journal ofthe ACM September 1994, Vol. 41(No. 5):874-902.
    • (1994) Journal ofthe ACM , vol.41 , Issue.5 , pp. 874-902
    • Glass, C.J.1    Ni, L.M.2
  • 263
    • 27344456043 scopus 로고    scopus 로고
    • The Æthereal Network on Chip: Concepts, Architectures, and Implementations
    • September-October
    • Goossens K., Dielissen J., Rǎdulescu A. The Æthereal Network on Chip: Concepts, Architectures, and Implementations. IEEE Design and Test of Computers September-October 2005, Vol. 22(No. 5):21-31.
    • (2005) IEEE Design and Test of Computers , vol.22 , Issue.5 , pp. 21-31
    • Goossens, K.1    Dielissen, J.2    Rǎdulescu, A.3
  • 265
    • 84891434744 scopus 로고    scopus 로고
    • Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends
    • Chapter 15, Kluwer, Norwell, MA, J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.)
    • Goossens K., Gangwal O.P., Rover J., Niranjan A.P. Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends. Interconnect-Centric Design for Advanced SoCand NoC 2004, 399-423. Chapter 15, Kluwer, Norwell, MA. J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.).
    • (2004) Interconnect-Centric Design for Advanced SoCand NoC , pp. 399-423
    • Goossens, K.1    Gangwal, O.P.2    Rover, J.3    Niranjan, A.P.4
  • 268
    • 33646901462 scopus 로고    scopus 로고
    • Un Réseau D'Interconnexion pour Systémes Intégrés
    • March, Université Paris VI, Norwell, MA
    • Guerrier P. Un Réseau D'Interconnexion pour Systémes Intégrés. Ph.D.thesis March 2000, Université Paris VI, Norwell, MA.
    • (2000) Ph.D.thesis
    • Guerrier, P.1
  • 270
    • 0019556656 scopus 로고
    • Prevention of Deadlocks in Packet-Switched Data Transport System
    • April
    • Günther K.D. Prevention of Deadlocks in Packet-Switched Data Transport System. IEEE Transactions on Communications April 1981, Vol. 29:512-524.
    • (1981) IEEE Transactions on Communications , vol.29 , pp. 512-524
    • Günther, K.D.1
  • 272
    • 36448961424 scopus 로고    scopus 로고
    • UMARS: A unified approach to mapping and routing on a combined guaranteed service and best-effort network-on-chip architecture
    • April, Philips Research, Paris, France
    • Hansson A., Goossens K., Rǎdulescu A. UMARS: A unified approach to mapping and routing on a combined guaranteed service and best-effort network-on-chip architecture. Technical Report 2005/00340 April 2005, Philips Research, Paris, France.
    • (2005) Technical Report 2005/00340
    • Hansson, A.1    Goossens, K.2    Rǎdulescu, A.3
  • 274
    • 84882226773 scopus 로고    scopus 로고
    • Analysis of message-dependent deadlock in network-based systems on chip
    • March, Philips Research
    • Hansson A., Goossens K., Radulescu A. Analysis of message-dependent deadlock in network-based systems on chip. Technical Report 2006/00230 March 2006, Philips Research.
    • (2006) Technical Report 2006/00230
    • Hansson, A.1    Goossens, K.2    Radulescu, A.3
  • 279
  • 282
    • 84856138901 scopus 로고
    • Credit-Based Flow Control for ATM Networks: Credit Update Protocol, Adaptive Credit Allocation and Statistical Multiplexing
    • Kung H.T., Blackwell T., Chapman A. Credit-Based Flow Control for ATM Networks: Credit Update Protocol, Adaptive Credit Allocation and Statistical Multiplexing. SIGCOMM 1994, 101-114.
    • (1994) SIGCOMM , pp. 101-114
    • Kung, H.T.1    Blackwell, T.2    Chapman, A.3
  • 284
    • 38849155806 scopus 로고    scopus 로고
    • An Interconnect-Centric Approach for Adapting Voltage and Frequency in Heterogeneous System-on-a-Chip
    • University of Massachusetts, Eindhoven, The Netherlands
    • Laffely A.J. An Interconnect-Centric Approach for Adapting Voltage and Frequency in Heterogeneous System-on-a-Chip. Ph.D. thesis 2003, University of Massachusetts, Eindhoven, The Netherlands.
    • (2003) Ph.D. thesis
    • Laffely, A.J.1
  • 287
    • 0022141776 scopus 로고
    • Fat-Trees: Universal Networks for Hardware-efficient supercomputing
    • October
    • Leiserson C. Fat-Trees: Universal Networks for Hardware-efficient supercomputing. IEEE Transactions on Computers October 1985, Vol. C-34(No.10):892-901.
    • (1985) IEEE Transactions on Computers , vol.C-34 , Issue.10 , pp. 892-901
    • Leiserson, C.1
  • 288
    • 0025746735 scopus 로고
    • An adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes
    • January
    • Linder D.H., Harden J.C. An adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes. IEEE Transactions on Computers January 1991, Vol. 40(No. 1):2-12.
    • (1991) IEEE Transactions on Computers , vol.40 , Issue.1 , pp. 2-12
    • Linder, D.H.1    Harden, J.C.2
  • 289
    • 13844263189 scopus 로고    scopus 로고
    • Fast, Minimal, and Oblivious Routing Algorithms on the mesh with bounded Queues
    • Litman A., Moran-Schein S. Fast, Minimal, and Oblivious Routing Algorithms on the mesh with bounded Queues. Journal of Interconnection Networks 2001, Vol. 2(No. 4):445-469.
    • (2001) Journal of Interconnection Networks , vol.2 , Issue.4 , pp. 445-469
    • Litman, A.1    Moran-Schein, S.2
  • 291
    • 0023344668 scopus 로고
    • Routing in the Manhattan Street Network
    • May
    • Maxemchuk N.F. Routing in the Manhattan Street Network. IEEE Transactions on Communication May 1987, Vol. COM-35(No. 2-3):503-512.
    • (1987) IEEE Transactions on Communication , vol.COM-35 , Issue.2-3 , pp. 503-512
    • Maxemchuk, N.F.1
  • 295
    • 0032157805 scopus 로고    scopus 로고
    • Wormhole Routing Techniques for Directly Connected Multicomputer Systems
    • Mohapatra P. Wormhole Routing Techniques for Directly Connected Multicomputer Systems. ACM Computing Surveys 1998, Vol. 30(No, 3):374-410.
    • (1998) ACM Computing Surveys , vol.30 , Issue.3 , pp. 374-410
    • Mohapatra, P.1
  • 299
    • 84943681390 scopus 로고
    • A Survey of Wormhole Routing Techniquesin Direct Networks
    • February
    • Ni L.M., McKinley P.K. A Survey of Wormhole Routing Techniquesin Direct Networks. IEEE Computer February 1993, Vol. 26(No. 2):62-76.
    • (1993) IEEE Computer , vol.26 , Issue.2 , pp. 62-76
    • Ni, L.M.1    McKinley, P.K.2
  • 300
    • 0003256793 scopus 로고    scopus 로고
    • Definition of the Differentiated Services Field (DS Field) in the IPv4 and IPv6 Headers
    • Available at December
    • Nichols K., Blake S., Baker F., Black D. Definition of the Differentiated Services Field (DS Field) in the IPv4 and IPv6 Headers. The RFC archive - RFC 2474 December 1998, 1126-1127. Available athttp://www.rfc_archive.org/getrfc.php?rfc=2474.
    • (1998) The RFC archive - RFC 2474 , pp. 1126-1127
    • Nichols, K.1    Blake, S.2    Baker, F.3    Black, D.4
  • 304
    • 0043034905 scopus 로고    scopus 로고
    • OCP International Partnership, Available at
    • OCP International Partnership Open Core Protocol Specification 2001, 1342-1347. Available athttp://www.ocpip.org.
    • (2001) Open Core Protocol Specification , pp. 1342-1347
  • 310
    • 11844282284 scopus 로고    scopus 로고
    • Communication Services for Networks on Chip
    • Marcel Dekker, New York, USA, S.S. Bhattacharyya, E.F. Deprettere, J. Teich (Eds.)
    • Radulescu A., Goossens K. Communication Services for Networks on Chip. Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation 2004, 193-213. Marcel Dekker, New York, USA. S.S. Bhattacharyya, E.F. Deprettere, J. Teich (Eds.).
    • (2004) Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation , pp. 193-213
    • Radulescu, A.1    Goossens, K.2
  • 314
    • 38849195570 scopus 로고    scopus 로고
    • An Optimized Hardware Architecture and Communication Protocol for Scheduled Communication
    • May, Electrical Engineering and Computer Science Department, Massachusetts Institute of Technology, New York
    • Shoemaker D. An Optimized Hardware Architecture and Communication Protocol for Scheduled Communication. Ph.D. thesis May 1997, Electrical Engineering and Computer Science Department, Massachusetts Institute of Technology, New York.
    • (1997) Ph.D. thesis
    • Shoemaker, D.1
  • 316
    • 0038300184 scopus 로고    scopus 로고
    • A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems
    • Song Y.H., Pinkston T.M. A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems. IEEE Transactions on Parallel and Distributed Systems 2003, Vol. 14:259-275.
    • (2003) IEEE Transactions on Parallel and Distributed Systems , vol.14 , pp. 259-275
    • Song, Y.H.1    Pinkston, T.M.2
  • 320
    • 34547990322 scopus 로고    scopus 로고
    • Runtime Networks-on-Chip Performance Monitoring
    • March, Philips Research, Upper Saddle River, NJ
    • van den Brand J.W., Ciordaş C., Basten T. Runtime Networks-on-Chip Performance Monitoring. Technical Report 2006/00218 March 2006, Philips Research, Upper Saddle River, NJ.
    • (2006) Technical Report 2006/00218
    • van den Brand, J.W.1    Ciordaş, C.2    Basten, T.3
  • 322
    • 84882090654 scopus 로고    scopus 로고
    • Quality of Service in Network-on-Chip
    • August, Tech-nion, Israel Institute of Technology, Eindhoven, The Nertherlands
    • Walter I.Z. Quality of Service in Network-on-Chip. Masters thesis August 2005, Tech-nion, Israel Institute of Technology, Eindhoven, The Nertherlands.
    • (2005) Masters thesis
    • Walter, I.Z.1
  • 324
    • 33646917831 scopus 로고    scopus 로고
    • Development and Performance Evaluation of Networks on Chip
    • Department of Electrical Engineering, Linkoping University, Haifa, Israel
    • Wiklund D. Development and Performance Evaluation of Networks on Chip. Ph.D. thesis 2005, Department of Electrical Engineering, Linkoping University, Haifa, Israel.
    • (2005) Ph.D. thesis
    • Wiklund, D.1
  • 326
    • 1242309793 scopus 로고    scopus 로고
    • Packetization and Routing Analysis of On-Chip Multiprocessor Networks
    • Special issue on Networks on Chip, February
    • Ye T.T, Benini L., De Micheli G. Packetization and Routing Analysis of On-Chip Multiprocessor Networks. Journal of Systems Architecture February 2004, Vol. 50(No. 2-3):81-104. Special issue on Networks on Chip.
    • (2004) Journal of Systems Architecture , vol.50 , Issue.2-3 , pp. 81-104
    • Ye, T.T.1    Benini, L.2    De Micheli, G.3
  • 327
    • 0029388337 scopus 로고
    • Service Disciplines for Guaranteed Performance Service in Packet-Switching Networks
    • No. 10, October
    • Zhang H. Service Disciplines for Guaranteed Performance Service in Packet-Switching Networks. Proceedings of the IEEE October 1995, 1374-1396. No. 10.
    • (1995) Proceedings of the IEEE , pp. 1374-1396
    • Zhang, H.1
  • 330
    • 84882085700 scopus 로고    scopus 로고
    • http://www.vsi.org.
  • 334
    • 43449130795 scopus 로고
    • Advanced RISC Machines Ltd, Linkoping, Sweden
    • ARM7DMI Data Sheet 1994, Advanced RISC Machines Ltd, Linkoping, Sweden.
    • (1994) ARM7DMI Data Sheet
  • 335
    • 0036922117 scopus 로고    scopus 로고
    • A Portable and Fault-Tolerant Microprocessor Based on the SPARC v8 Architecture
    • June
    • Gaisler J. A Portable and Fault-Tolerant Microprocessor Based on the SPARC v8 Architecture. International Conference on Dependable Systems and Networks June 2002, 409-415.
    • (2002) International Conference on Dependable Systems and Networks , pp. 409-415
    • Gaisler, J.1
  • 336
    • 0033886799 scopus 로고    scopus 로고
    • A Single-Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP
    • March
    • Ackland B., et al. A Single-Chip, 1.6-Billion, 16-b MAC/s Multiprocessor DSP. IEEE Journal off Solid-State Circuits March 2000, Vol. 35(No. 3):412-424.
    • (2000) IEEE Journal off Solid-State Circuits , vol.35 , Issue.3 , pp. 412-424
    • Ackland, B.1
  • 346
  • 347
    • 27644494723 scopus 로고    scopus 로고
    • Key Research Problems in NoC Design: A Holistic Perspective
    • September
    • Ogras U., Hu J., Marculescu R. Key Research Problems in NoC Design: A Holistic Perspective. Proceedings of the CODES ISSS September 2005, 69-74.
    • (2005) Proceedings of the CODES ISSS , pp. 69-74
    • Ogras, U.1    Hu, J.2    Marculescu, R.3
  • 354
    • 21244503275 scopus 로고    scopus 로고
    • April, Kluwer Academic Publisher, J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.)
    • InterconnectCentric Design for Advanced SoC and NoC April 2004, Kluwer Academic Publisher. J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.).
    • (2004) InterconnectCentric Design for Advanced SoC and NoC
  • 355
    • 84882159314 scopus 로고    scopus 로고
    • Hardware Wrapper Classification and Requirements for On-Chip Interconnects
    • Monastir, Tunisie
    • Scherrer A., Risset T., Fraboulet A. Hardware Wrapper Classification and Requirements for On-Chip Interconnects. Signaux, Circuits et Systmes 2004 2004, 31-34. Monastir, Tunisie.
    • (2004) Signaux, Circuits et Systmes 2004 , pp. 31-34
    • Scherrer, A.1    Risset, T.2    Fraboulet, A.3
  • 356
    • 33646927819 scopus 로고    scopus 로고
    • Design of a Virtual Component Neutral Network-on-Chip Transaction Layer
    • Martin P. Design of a Virtual Component Neutral Network-on-Chip Transaction Layer. Design Automation and Test in Europe Conference 2005, 336-337.
    • (2005) Design Automation and Test in Europe Conference , pp. 336-337
    • Martin, P.1
  • 357
    • 16244385949 scopus 로고    scopus 로고
    • Reducing power and Latency in 2-D Mesh NoCs Using Globally Pseudochronous Locally Synchronous Clocking
    • September
    • Nilsson E., Oeberg J. Reducing power and Latency in 2-D Mesh NoCs Using Globally Pseudochronous Locally Synchronous Clocking. CODES ISSS September 2004, 176-181.
    • (2004) CODES ISSS , pp. 176-181
    • Nilsson, E.1    Oeberg, J.2
  • 358
    • 27344452711 scopus 로고    scopus 로고
    • Analysis and Implementation of Practical, Cost-Effective Networks on Chips
    • September-October
    • Lee S.J., Lee K., Yoo H.J. Analysis and Implementation of Practical, Cost-Effective Networks on Chips. IEEE Design and Test of Computers September-October 2005, 422-433.
    • (2005) IEEE Design and Test of Computers , pp. 422-433
    • Lee, S.J.1    Lee, K.2    Yoo, H.J.3
  • 361
  • 365
    • 0034785285 scopus 로고    scopus 로고
    • Powering Networks on Chips
    • Benini L., De Michli G. Powering Networks on Chips. ISSS 2001, 33-38.
    • (2001) ISSS , pp. 33-38
    • Benini, L.1    De Michli, G.2
  • 371
  • 373
    • 11844282284 scopus 로고    scopus 로고
    • Communication Services for Networks on Chip
    • Radulescu A., Goossens K. Communication Services for Networks on Chip. SAMOS 2002, 275-299.
    • (2002) SAMOS , pp. 275-299
    • Radulescu, A.1    Goossens, K.2
  • 374
    • 84882102878 scopus 로고    scopus 로고
    • Tensilicas automation arrives: new design tool creates CPU extensions from C/C++ programs
    • July 12
    • Halfhill T.R. Tensilicas automation arrives: new design tool creates CPU extensions from C/C++ programs. Microprocessor Report July 12, 2004, http://www.MPRonline.com.
    • (2004) Microprocessor Report
    • Halfhill, T.R.1
  • 375
    • 22944472975 scopus 로고    scopus 로고
    • Configurable Processors: A New Era in Chip Design
    • July
    • Leibson S., Kim J. Configurable Processors: A New Era in Chip Design. IEEE Computer July 2005, Vol. 38(No. 7):51-59.
    • (2005) IEEE Computer , vol.38 , Issue.7 , pp. 51-59
    • Leibson, S.1    Kim, J.2
  • 378
    • 84882166280 scopus 로고    scopus 로고
    • http://www.rsim.cs.uiuc.edu/rsim/.
  • 381
    • 84891434744 scopus 로고    scopus 로고
    • Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends
    • Chapter 15, Kluwer, San Fransisco, CA, J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.)
    • Goossens K., Gangwal O.P., Roever J., Niranjan A.P. Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV - Evolution, Analysis, and Trends. Interconnect-Centric Design for Advanced SoC and NoC 2004, 399-423. Chapter 15, Kluwer, San Fransisco, CA. J. Nurmi, H. Tenhunen, J. Isoaho, A. Jantsch (Eds.).
    • (2004) Interconnect-Centric Design for Advanced SoC and NoC , pp. 399-423
    • Goossens, K.1    Gangwal, O.P.2    Roever, J.3    Niranjan, A.P.4
  • 387
    • 84882184518 scopus 로고    scopus 로고
    • Design of a Low Latency Circuit Switched NoC Architecture for High Performance MPSoCs
    • University of Ferrara, March
    • Martini F. Design of a Low Latency Circuit Switched NoC Architecture for High Performance MPSoCs. Master thesis March 2006, University of Ferrara.
    • (2006) Master thesis
    • Martini, F.1
  • 388
    • 0036113495 scopus 로고    scopus 로고
    • Ambient Intelligence, the Next Paradigm for Consumer Electronics: How will it Affect Silicon?
    • Boekhorst F. Ambient Intelligence, the Next Paradigm for Consumer Electronics: How will it Affect Silicon?. International Solid-State Circuits Conference 2002, Vol. 1:28-31.
    • (2002) International Solid-State Circuits Conference , vol.1 , pp. 28-31
    • Boekhorst, F.1
  • 390
    • 84895303886 scopus 로고    scopus 로고
    • Springer, Italy, W. Weber, J. Rabaey, E. Aarts (Eds.)
    • Ambient Intelligence 2005, Springer, Italy. W. Weber, J. Rabaey, E. Aarts (Eds.).
    • (2005) Ambient Intelligence
  • 391
    • 33748848240 scopus 로고    scopus 로고
    • Platform 2015: Intel Processor and Platform Evolution forthe Next Decade
    • Borkar S., et al. Platform 2015: Intel Processor and Platform Evolution forthe Next Decade. INTEL White Paper 2005.
    • (2005) INTEL White Paper
    • Borkar, S.1
  • 397
    • 0033886799 scopus 로고    scopus 로고
    • A Single Chip, 1.6 Billion, 16-b MAC/s Multiprocessor DSP
    • Ackland B., et al. A Single Chip, 1.6 Billion, 16-b MAC/s Multiprocessor DSP. IEEE Journal of Solid State Circuits 2000, Vol. 35(No. 3):412-424.
    • (2000) IEEE Journal of Solid State Circuits , vol.35 , Issue.3 , pp. 412-424
    • Ackland, B.1
  • 401
    • 27344435504 scopus 로고    scopus 로고
    • The Design and Implementation of a First-generation CELLProcessor
    • Pham D., et al. The Design and Implementation of a First-generation CELLProcessor. IEEE International Solid-State Circuits Conference 2005, 184-592.
    • (2005) IEEE International Solid-State Circuits Conference , pp. 184-592
    • Pham, D.1
  • 402
    • 0033880036 scopus 로고    scopus 로고
    • The Stanford Hydra CMP
    • Hammond L., et al. The Stanford Hydra CMP. IEEE Micro 2000, Vol. 20(No. 2):71-84.
    • (2000) IEEE Micro , vol.20 , Issue.2 , pp. 71-84
    • Hammond, L.1
  • 403
    • 0033722744 scopus 로고    scopus 로고
    • Piranha: A Scalable Architecture Based on Single-chip Multiprocessi
    • Barroso L., et al. Piranha: A Scalable Architecture Based on Single-chip Multiprocessi. International Symposium on Computer Architecture 2000, 282-293.
    • (2000) International Symposium on Computer Architecture , pp. 282-293
    • Barroso, L.1
  • 405
    • 84930656595 scopus 로고    scopus 로고
    • STMicroelectronics
    • STMicroelectronics Nomadik Platform http://www.st.com.
    • Nomadik Platform
  • 410
    • 0037631147 scopus 로고    scopus 로고
    • A 160 mW, 80 nA Standby, MPEG-4 Audiovisual LSI 16Mb Embedded DRAM and a 5 GOPS Adaptive Post Filter
    • Arakida H., et al. A 160 mW, 80 nA Standby, MPEG-4 Audiovisual LSI 16Mb Embedded DRAM and a 5 GOPS Adaptive Post Filter. IEEE International Solid-State Circuits Conference 2003, 62-63.
    • (2003) IEEE International Solid-State Circuits Conference , pp. 62-63
    • Arakida, H.1
  • 411
    • 34147185485 scopus 로고    scopus 로고
    • Communication Centric Architectures for Turbo-decoding on Embedded Multiprocessors
    • Gilbert F., Thul M., When N. Communication Centric Architectures for Turbo-decoding on Embedded Multiprocessors. Design and Test in Europe Conference 2003, 351-356.
    • (2003) Design and Test in Europe Conference , pp. 351-356
    • Gilbert, F.1    Thul, M.2    When, N.3
  • 412
    • 4444343175 scopus 로고    scopus 로고
    • An Efficient Scalable and Flexible Data Transfer Architectures for Multiprocessor SoC with Massive Distributed Memory
    • Hand S., Baghdadi A., Bonacio M., Chae S., Jerraya A. An Efficient Scalable and Flexible Data Transfer Architectures for Multiprocessor SoC with Massive Distributed Memory. Design Automation Conference 2004, 250-255.
    • (2004) Design Automation Conference , pp. 250-255
    • Hand, S.1    Baghdadi, A.2    Bonacio, M.3    Chae, S.4    Jerraya, A.5
  • 413
    • 0036857007 scopus 로고    scopus 로고
    • StepNP: A system-level Exploration Platform for Network Processors
    • Paulin P., Pilkington C., Bensoudane E. StepNP: A system-level Exploration Platform for Network Processors. IEEE Design and Test of Computers 2002, Vol.19(No. 6):17-26.
    • (2002) IEEE Design and Test of Computers , vol.19 , Issue.6 , pp. 17-26
    • Paulin, P.1    Pilkington, C.2    Bensoudane, E.3
  • 414
    • 0025440459 scopus 로고
    • A Survey of Cache Coherence Schemes for Multiprocessors
    • Stenstrom P. A Survey of Cache Coherence Schemes for Multiprocessors. IEEE Computer 1990, Vol. 23(No. 6):12-24.
    • (1990) IEEE Computer , vol.23 , Issue.6 , pp. 12-24
    • Stenstrom, P.1
  • 415
    • 0028516678 scopus 로고
    • Hardware Approaches to Cache Coherence in Shared-Memory Multiprocessors
    • Tomasevic M., Milutinovic V.M. Hardware Approaches to Cache Coherence in Shared-Memory Multiprocessors. IEEE Micro 1994, Vol. 14(No. 5-6):52-59.
    • (1994) IEEE Micro , vol.14 , Issue.5-6 , pp. 52-59
    • Tomasevic, M.1    Milutinovic, V.M.2
  • 416
    • 0031145348 scopus 로고    scopus 로고
    • Classifying Software-Based Cache Coherence Solutions
    • Tartalja I., Milutinovic V.M. Classifying Software-Based Cache Coherence Solutions. IEEE Software 1997, Vol. 14(No. 3):90-101.
    • (1997) IEEE Software , vol.14 , Issue.3 , pp. 90-101
    • Tartalja, I.1    Milutinovic, V.M.2
  • 417
    • 20344374162 scopus 로고    scopus 로고
    • Niagara: a 32-way Multithreaded Sparc Processor
    • Kongetira P., Aingaran K., Olukotun K. Niagara: a 32-way Multithreaded Sparc Processor. IEEE Micro 2005, Vol. 25(No. 2):21-29.
    • (2005) IEEE Micro , vol.25 , Issue.2 , pp. 21-29
    • Kongetira, P.1    Aingaran, K.2    Olukotun, K.3
  • 418
    • 85024275309 scopus 로고    scopus 로고
    • Software and the Concurrency Revolution
    • Sutter H., Larus J. Software and the Concurrency Revolution. ACM Queue 2005, Vol. 3(No. 7):54-62.
    • (2005) ACM Queue , vol.3 , Issue.7 , pp. 54-62
    • Sutter, H.1    Larus, J.2
  • 419
    • 0031295210 scopus 로고    scopus 로고
    • A Survey of Stream Processing
    • Stephens R. A Survey of Stream Processing. Acta Informatica 1997, Vol. 34(No. 7):491-541.
    • (1997) Acta Informatica , vol.34 , Issue.7 , pp. 491-541
    • Stephens, R.1
  • 420
    • 0023421474 scopus 로고
    • Pipeline Interleaved Programmable DSPs: Synchronous Data Flow Programming
    • Lee E., Messerschmitt D. Pipeline Interleaved Programmable DSPs: Synchronous Data Flow Programming. IEEE Transactions on Signal Processing 1987, Vol. 35(No. 9):1334-1345.
    • (1987) IEEE Transactions on Signal Processing , vol.35 , Issue.9 , pp. 1334-1345
    • Lee, E.1    Messerschmitt, D.2
  • 422
    • 84882080194 scopus 로고    scopus 로고
    • AVISPA-CH
    • Hive Silicon AVISPA-CH. http://www.siliconhive.com.
    • Hive, S.1
  • 423
    • 84882092507 scopus 로고    scopus 로고
    • Constraint Driven Operation Assignment for Retargetable VLIW Compilers
    • Bekoij M. Constraint Driven Operation Assignment for Retargetable VLIW Compilers. Ph.D. Dissertation 2004.
    • (2004) Ph.D. Dissertation
    • Bekoij, M.1
  • 425
    • 0010947345 scopus 로고    scopus 로고
    • Instruction Scheduling for Instruction Level Parallel Processors
    • No. 11
    • Faraboschi P., Fisher J., Young C. Instruction Scheduling for Instruction Level Parallel Processors. Proceedings of the IEEE 2001, 1638-1659. vol. 89, No. 11.
    • (2001) Proceedings of the IEEE , vol.89 , pp. 1638-1659
    • Faraboschi, P.1    Fisher, J.2    Young, C.3
  • 428
    • 0036949142 scopus 로고    scopus 로고
    • OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-chip Multiprocessors
    • Sato M. OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-chip Multiprocessors. IEEE International Symposium on System Synthesis 2002, 109-111.
    • (2002) IEEE International Symposium on System Synthesis , pp. 109-111
    • Sato, M.1
  • 430
    • 3042613682 scopus 로고    scopus 로고
    • Fast Exploration of Parameterized Bus Architecture for Communication-centric SoC Design
    • Shin C., et al. Fast Exploration of Parameterized Bus Architecture for Communication-centric SoC Design. Design, Automation and Test in Europe 2004, Vol. 1:352-357.
    • (2004) Design, Automation and Test in Europe , vol.1 , pp. 352-357
    • Shin, C.1
  • 437
    • 33646405636 scopus 로고    scopus 로고
    • Building Predictable Systems on Chip: An Analysis of Guar-anteed Communication in the Æthereal Network on Chip
    • Chapter 1, Springer, P. van der Stok (Ed.) Dynamic and Robust Streaming In and Between Connected Consumer-Electronics Devices
    • Gangwal O.P., Rǎdulescu A., Goossens K., Pestana S.González, Rijpkema E. Building Predictable Systems on Chip: An Analysis of Guar-anteed Communication in the Æthereal Network on Chip. Philips Research Book Series 2005, 1-36. Chapter 1, Springer. P. van der Stok (Ed.).
    • (2005) Philips Research Book Series , pp. 1-36
    • Gangwal, O.P.1    Rǎdulescu, A.2    Goossens, K.3    Pestana, S.A.4    Rijpkema, E.5
  • 442
    • 84893760422 scopus 로고    scopus 로고
    • Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures
    • March
    • Hu J., Marculescu R. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures. DATE, International Conference on Design and Test Europe March 2003, 10688-10693.
    • (2003) DATE, International Conference on Design and Test Europe , pp. 10688-10693
    • Hu, J.1    Marculescu, R.2
  • 448
    • 4444335188 scopus 로고    scopus 로고
    • SUNMAP: A Tool for Automatic Topology Selection and Generation for NoCs
    • June
    • Murali S., De Micheli G. SUNMAP: A Tool for Automatic Topology Selection and Generation for NoCs. DAC, Design Automation Conference June 2004, 914-919.
    • (2004) DAC, Design Automation Conference , pp. 914-919
    • Murali, S.1    De Micheli, G.2
  • 458
    • 84882096261 scopus 로고    scopus 로고
    • http://www.arm.com/products/solutions/AMBAOverview.html.
  • 459
    • 84882186564 scopus 로고    scopus 로고
    • http://www.st.com/stonline/prodpres/dedicate/soc/cores/stbus.htm.
  • 460
    • 84882178606 scopus 로고    scopus 로고
    • http://www.isi.edu/nsnam/ns/.
  • 461
    • 84882177187 scopus 로고    scopus 로고
    • http://www.swarm.org.
  • 462
    • 84882190139 scopus 로고    scopus 로고
    • http://www.ocpip.org.
  • 463
    • 84882230425 scopus 로고    scopus 로고
    • http://www.sonicsinc.com.
  • 464
    • 84882102486 scopus 로고    scopus 로고
    • http://www.vsi.org.
  • 465
    • 84882072012 scopus 로고    scopus 로고
    • http://www.synopsys.com/products/verification/verification.html.
  • 466
    • 84882149850 scopus 로고    scopus 로고
    • http://www.cadence.com/verisity/.
  • 467
    • 84882153817 scopus 로고    scopus 로고
    • http://www.coware.com/.
  • 468
    • 84882216963 scopus 로고    scopus 로고
    • http://www.synopsys.com/products/designware/core_assembler.html.
  • 469
    • 84882180367 scopus 로고    scopus 로고
    • http://www.arteris.com.
  • 470
    • 0037630655 scopus 로고    scopus 로고
    • A Multi-Context 6.4 Gb/s/Channel On-Chip Communication Network Using 0.18 μm Flash-EEPROM Switches and Elastic Interconnects
    • February
    • Borgatti M., et al. A Multi-Context 6.4 Gb/s/Channel On-Chip Communication Network Using 0.18 μm Flash-EEPROM Switches and Elastic Interconnects. IEEE International Solid-State Circuits Conference February 2003, 466-467.
    • (2003) IEEE International Solid-State Circuits Conference , pp. 466-467
    • Borgatti, M.1
  • 471
    • 28144456407 scopus 로고    scopus 로고
    • A Chip-Package Hybrid DLL Loop and Clock Distribution Network for Low-Jitter Clock Delivery
    • February
    • Chung D., et al. A Chip-Package Hybrid DLL Loop and Clock Distribution Network for Low-Jitter Clock Delivery. IEEE International Solid-State Circuits Conference February 2005, 514-515.
    • (2005) IEEE International Solid-State Circuits Conference , pp. 514-515
    • Chung, D.1
  • 472
    • 2442698800 scopus 로고    scopus 로고
    • A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform
    • February
    • Lee K., et al. A 51 mW 1.6 GHz On-Chip Network for Low-Power Heterogeneous SoC Platform. IEEE International Solid-State Circuits Conference February 2004, 152-153.
    • (2004) IEEE International Solid-State Circuits Conference , pp. 152-153
    • Lee, K.1
  • 473
    • 16244392403 scopus 로고    scopus 로고
    • SILENT: Serialized Low-Energy Transmission Coding for On-Chip Interconnection Networks
    • November
    • Lee K., et al. SILENT: Serialized Low-Energy Transmission Coding for On-Chip Interconnection Networks. IEEE International Conference on Computer Aided Design November 2004, 448-451.
    • (2004) IEEE International Conference on Computer Aided Design , pp. 448-451
    • Lee, K.1
  • 474
    • 84882053342 scopus 로고    scopus 로고
    • An Exploration of Hierarchical Topologies for Networks-on-Chip
    • submitted to
    • Lee K., et al. An Exploration of Hierarchical Topologies for Networks-on-Chip. Design, Automation and Test in Europe Conference 2006, submitted to.
    • (2006) Design, Automation and Test in Europe Conference
    • Lee, K.1
  • 475
    • 0038645161 scopus 로고    scopus 로고
    • An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip
    • February
    • Lee S.-J., et al. An 800 MHz Star-Connected On-Chip Network for Application to Systems on a Chip. IEEE International Solid-State Circuits Conference February 2003, 468-469.
    • (2003) IEEE International Solid-State Circuits Conference , pp. 468-469
    • Lee, S.-J.1
  • 476
    • 84882053381 scopus 로고    scopus 로고
    • Cost-Optimized System-on-Chip Implementation with On-Chlip Network
    • Korea Advanced Institute of Science and Technology, Berlin, Germany
    • Lee S.-J. Cost-Optimized System-on-Chip Implementation with On-Chlip Network. Ph.D. thesis 2005, Korea Advanced Institute of Science and Technology, Berlin, Germany.
    • (2005) Ph.D. thesis
    • Lee, S.-J.1
  • 477
    • 27344440896 scopus 로고    scopus 로고
    • Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
    • IEEE Symposium on VLSI Circuits, June
    • Lee S.-J., et al. Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme. Digest Technical Papers June 2005, 104-107.
    • (2005) Digest Technical Papers , pp. 104-107
    • Lee, S.-J.1
  • 478
    • 28144460105 scopus 로고    scopus 로고
    • A 50 Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications
    • February
    • Sohn J.-H., et al. A 50 Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications. IEEE International Solid-State Circuits Conference February 2005, 192-193.
    • (2005) IEEE International Solid-State Circuits Conference , pp. 192-193
    • Sohn, J.-H.1
  • 479
    • 2942647994 scopus 로고    scopus 로고
    • A 16-Issue Multiple-Program-Counter Microprocessor with Point-to-Point Scalar Operand Network
    • February
    • Talyor M.B., et al. A 16-Issue Multiple-Program-Counter Microprocessor with Point-to-Point Scalar Operand Network. IEEE International Solid-State Circuits Conference February 2003, 170-171.
    • (2003) IEEE International Solid-State Circuits Conference , pp. 170-171
    • Talyor, M.B.1
  • 481
    • 0034430386 scopus 로고    scopus 로고
    • A 1V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications
    • February
    • Zhang H., et al. A 1V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications. IEEE International Solid-State Circuits Conference February 2000, 68-69.
    • (2000) IEEE International Solid-State Circuits Conference , pp. 68-69
    • Zhang, H.1
  • 482
    • 0033704034 scopus 로고    scopus 로고
    • Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness
    • June
    • Zhang H., et al. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on VLSI systems June 2000, Vol. 8:264-272.
    • (2000) IEEE Transactions on VLSI systems , vol.8 , pp. 264-272
    • Zhang, H.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.