메뉴 건너뛰기




Volumn 89, Issue 4, 2001, Pages 490-504

The future of wires

Author keywords

Capacitance; Delay estimation; Electromagnetic coupling; Inductance; Interconnections; Resistance; Technology forecasting; Wire

Indexed keywords


EID: 33646922057     PISSN: 00189219     EISSN: None     Source Type: Journal    
DOI: 10.1109/5.920580     Document Type: Article
Times cited : (1073)

References (56)
  • 2
    • 0032307685 scopus 로고    scopus 로고
    • Getting to the bottom of deep submkron
    • Nov.
    • D. Sylvester et al., "Getting to the bottom of deep submkron," in Proc. ICCAD, Nov. 1998. pp. 203-211.
    • (1998) Proc. ICCAD , pp. 203-211
    • Sylvester, D.1
  • 4
    • 33646914958 scopus 로고    scopus 로고
    • private communication
    • T. Williams, private communication, 2000.
    • (2000)
    • Williams, T.1
  • 5
    • 0029547914 scopus 로고
    • Interconnect scaling-The real limiter to high performance ULSI
    • M. Bohr, "Interconnect scaling-The real limiter to high performance ULSI," in Proc. IEDM, 1995, pp. 241-244.
    • (1995) Proc. IEDM , pp. 241-244
    • Bohr, M.1
  • 6
    • 33646946224 scopus 로고    scopus 로고
    • The trend of on-chip interconnects: An international perspective
    • Stanford University.
    • Y. Nishi, "The trend of on-chip interconnects: An international perspective," presented at the 1998 Spring Seminar Series, Stanford University.
    • 1998 Spring Seminar Series
    • Nishi, Y.1
  • 7
    • 0001032562 scopus 로고
    • Inductance calculations in a complex integrated circuit environment
    • Sept.
    • A. Ruehli, "Inductance calculations in a complex integrated circuit environment," IBM J. Res. Dev., no. 5, pp. 470-481, Sept. 1972.
    • (1972) IBM J. Res. Dev. , vol.5 , pp. 470-481
    • Ruehli, A.1
  • 8
    • 0001691745 scopus 로고
    • The self and mutual inductance of linear conductors
    • B. Rosa, "The self and mutual inductance of linear conductors," Bull. National Bureau of Standards, pp. 301-344, 1908.
    • (1908) Bull. National Bureau of Standards , pp. 301-344
    • Rosa, B.1
  • 9
    • 0028498583 scopus 로고
    • FASTHENRY: A multipole accelerated 3-D inductance extraction program
    • Sept.
    • M. Kamon et al., "FASTHENRY: A multipole accelerated 3-D inductance extraction program," IEEE Trans. Microwave Theory Tech., vol. 42, pp. 1750-1758, Sept. 1994.
    • (1994) IEEE Trans. Microwave Theory Tech. , vol.42 , pp. 1750-1758
    • Kamon, M.1
  • 10
    • 0032629526 scopus 로고    scopus 로고
    • IC analyses including extracted inductance models
    • June
    • M. Beattie et al., "IC analyses including extracted inductance models," in Proc. DAC, June 1999, pp. 915-920.
    • (1999) Proc. DAC , pp. 915-920
    • Beattie, M.1
  • 11
    • 0002469282 scopus 로고    scopus 로고
    • Return-limited inductance: A practical approach lo on-chip inductance extraction
    • May
    • K. Shepard et al, "Return-limited inductance: A practical approach lo on-chip inductance extraction," in Proc. CICC, May 1999, pp. 453-456.
    • (1999) Proc. CICC , pp. 453-456
    • Shepard, K.1
  • 12
    • 0031355322 scopus 로고    scopus 로고
    • The importance of inductance and inductive coupling for on-chip wiring
    • Oct.
    • A. Deutsch et al., "The importance of inductance and inductive coupling for on-chip wiring," in Proc. EPEP, Oct. 1997, pp. 53-56.
    • (1997) Proc. EPEP , pp. 53-56
    • Deutsch, A.1
  • 13
    • 0031640596 scopus 로고    scopus 로고
    • Designing the best clock distribution network
    • June
    • P. J. Restle et al., "Designing the best clock distribution network," in VLSI Circuit Symp. Dig. Tech. Papers. June 1998. pp. 2-6.
    • (1998) VLSI Circuit Symp. Dig. Tech. Papers. , pp. 2-6
    • Restle, P.J.1
  • 14
    • 0032597772 scopus 로고    scopus 로고
    • Including inductance effects in interconnect timing analysis
    • May
    • B. Krauter et al., "Including inductance effects in interconnect timing analysis," in Proc. CICC, May 1999, pp. 445-452.
    • (1999) Proc. CICC , pp. 445-452
    • Krauter, B.1
  • 15
    • 0031099379 scopus 로고    scopus 로고
    • Crosstalk reduction for VLSI
    • Mar.
    • A. Vittal et al., "Crosstalk reduction for VLSI," IEEE Trans. Computer-Aided Design, vol. 16, pp. 290-298, Mar. 1997.
    • (1997) IEEE Trans. Computer-Aided Design , vol.16 , pp. 290-298
    • Vittal, A.1
  • 16
    • 0034428844 scopus 로고    scopus 로고
    • Accurate in-site measurement of peak noise and signal delay induced by interconnect coupling
    • Feb.
    • T. Sato et al., "Accurate in-site measurement of peak noise and signal delay induced by interconnect coupling," in ISSCC Dig. Tech. Papers, Feb. 2000, pp. 226-227.
    • (2000) ISSCC Dig. Tech. Papers , pp. 226-227
    • Sato, T.1
  • 17
    • 0032635504 scopus 로고    scopus 로고
    • Accurate on-chip interconnect evaluation: A time-domain technique
    • May
    • K. Soumyanath et al., "Accurate on-chip interconnect evaluation: A time-domain technique," IEEE J. Solid-Stale Circuits, vol. 34, pp. 623-631, May 1999.
    • (1999) IEEE J. Solid-Stale Circuits , vol.34 , pp. 623-631
    • Soumyanath, K.1
  • 18
    • 0025414182 scopus 로고
    • Asymptotic waveform evaluation for timing analysis
    • Apr.
    • L. Pillage et al., "Asymptotic waveform evaluation for timing analysis," IEEE Trans. Computer-Aided Design, vol. 9, pp. 352-366, Apr. 1990.
    • (1990) IEEE Trans. Computer-Aided Design , vol.9 , pp. 352-366
    • Pillage, L.1
  • 19
    • 0032683656 scopus 로고    scopus 로고
    • On-chip inductance issues in mutticonductor systems
    • June
    • S. Morton, "On-chip inductance issues in mutticonductor systems," in Proc. DAC, June 1999, pp. 921-926.
    • (1999) Proc. DAC , pp. 921-926
    • Morton, S.1
  • 20
    • 33646937453 scopus 로고    scopus 로고
    • Design methodologies for interconnect in GHz+ ICs
    • Feb.
    • S. Naffziger, "Design methodologies for interconnect in GHz+ ICs," in Tutorial at ISSCC, Feb. 1999.
    • (1999) Tutorial at ISSCC
    • Naffziger, S.1
  • 21
    • 0001169869 scopus 로고    scopus 로고
    • An efficient inductance modeling for on-chip interconnects
    • May
    • L. He et al., "An efficient inductance modeling for on-chip interconnects," in Proc. CICC, May 1999, pp. 457-460.
    • (1999) Proc. CICC , pp. 457-460
    • He, L.1
  • 22
    • 0027844919 scopus 로고
    • Inductance on silicon for sub-micron CMOS VLSI
    • June
    • D. Priore, "Inductance on silicon for sub-micron CMOS VLSI," in VLSI Circuits Symp. Dig. Tech. Papers, June 1993, pp. 17-18.
    • (1993) VLSI Circuits Symp. Dig. Tech. Papers , pp. 17-18
    • Priore, D.1
  • 23
    • 33646898484 scopus 로고    scopus 로고
    • Rationale and challenges for optical interconnections to electronic chips
    • to be published
    • D. Miller, "Rationale and challenges for optical interconnections to electronic chips," Proc. IEEE, to be published.
    • Proc. IEEE
    • Miller, D.1
  • 26
    • 0034429639 scopus 로고    scopus 로고
    • A GHz IA-32 architecture microprocessor implemented on 0.18 mm technology with aluminum interconnect
    • Feb.
    • P. Green, "A GHz IA-32 architecture microprocessor implemented on 0.18 mm technology with aluminum interconnect," in ISSCC Dig. Tech. Papers, Feb. 2000, pp. 98-99.
    • (2000) ISSCC Dig. Tech. Papers , pp. 98-99
    • Green, P.1
  • 28
    • 33646924309 scopus 로고    scopus 로고
    • CMOS Transistor scaling limits
    • invited talk
    • C. Hu, "CMOS Transistor scaling limits," DAC 2000. invited talk.
    • DAC 2000
    • Hu, C.1
  • 29
    • 0031645635 scopus 로고    scopus 로고
    • Ultra-thin, 1.0-3.0nm, gate oxides for high performance sub-100nm technology
    • June
    • T. Sorsch et al., "Ultra-thin, 1.0-3.0nm, gate oxides for high performance sub-100nm technology," in VLSI Technology Symp. Dig. Tech. Papers, June 1998, pp. 215-216.
    • (1998) VLSI Technology Symp. Dig. Tech. Papers , pp. 215-216
    • Sorsch, T.1
  • 30
    • 0031624231 scopus 로고    scopus 로고
    • Stacked gate dielectrics with TaO for future CMOS technologies
    • June
    • I. C. Kizilyalli et al., "Stacked gate dielectrics with TaO for future CMOS technologies," in VLSI Technology Symp. Dig. Tech. Papers, June 1998, pp. 216-217.
    • (1998) VLSI Technology Symp. Dig. Tech. Papers , pp. 216-217
    • Kizilyalli, I.C.1
  • 31
    • 0031636456 scopus 로고    scopus 로고
    • Highly robust ultra-thin gate dielectric for giga scale technology
    • June
    • M. Khare et al., "Highly robust ultra-thin gate dielectric for giga scale technology," in VLSI Technology Symp. Dig. Tech. Papers, June 1998, pp. 218-219.
    • (1998) VLSI Technology Symp. Dig. Tech. Papers , pp. 218-219
    • Khare, M.1
  • 32
    • 84886447985 scopus 로고    scopus 로고
    • A fully planarized 6-level-metal CMOS technology for 0.25-0.18 micron foundry manufacturing
    • Dec
    • T. Lin el al, "A fully planarized 6-level-metal CMOS technology for 0.25-0.18 micron foundry manufacturing," in Proc. IEDM, Dec 1997, pp. 851-854.
    • (1997) Proc. IEDM , pp. 851-854
    • Lin, T.1
  • 34
    • 0034430387 scopus 로고    scopus 로고
    • A 1 GHz alpha microprocessor
    • Feb.
    • B. Benschneider et ai., "A 1 GHz alpha microprocessor," in ISSCC Dig. Tech. Papers, Feb. 2000, pp. 86-87.
    • (2000) ISSCC Dig. Tech. Papers , pp. 86-87
    • Benschneider, B.1
  • 35
    • 33646945337 scopus 로고    scopus 로고
    • [Online]. Available: www.mtel.com/pressroom/archive/releascs/dp031000.htm
  • 36
    • 17344376740 scopus 로고    scopus 로고
    • 100 nm gate length high performance/low power CMOS transistor structure
    • Dec.
    • T. Ghani et al., "100 nm gate length high performance/low power CMOS transistor structure," in Proc. IEDM. Dec. 1999, pp. 415-419.
    • (1999) Proc. IEDM. , pp. 415-419
    • Ghani, T.1
  • 37
    • 0021563347 scopus 로고
    • Power distribution techniques for VLSI circuits
    • Jan.
    • W. Song et al., "Power distribution techniques for VLSI circuits," in Proc. Conf. Advance Research in VLSI, Jan. 1984, pp. 45-52.
    • (1984) Proc. Conf. Advance Research in VLSI , pp. 45-52
    • Song, W.1
  • 38
    • 33646930933 scopus 로고    scopus 로고
    • private communication
    • P. Kapur, private communication, 2000.
    • (2000)
    • Kapur, P.1
  • 40
    • 0031623454 scopus 로고    scopus 로고
    • Layout techniques for minimizing on-chip interconnect self inductance
    • June
    • Y. Massoud et al., "Layout techniques for minimizing on-chip interconnect self inductance," in Proc. DAC, June 1998, pp. 566-571.
    • (1998) Proc. DAC , pp. 566-571
    • Massoud, Y.1
  • 41
    • 0034428197 scopus 로고    scopus 로고
    • An on-chip voltage regulator using switched decoupling capacitors
    • Feb.
    • M. Ang et al., "An on-chip voltage regulator using switched decoupling capacitors," in ISSCC Dig. Tech. Papers Feb. 2000. pp. 438-459.
    • (2000) ISSCC Dig. Tech. Papers , pp. 438-459
    • Ang, M.1
  • 42
    • 0032674022 scopus 로고    scopus 로고
    • Using partitioning to help convergence in the standard-ceil design automation methodology
    • June
    • H. Kapadia et al., "Using partitioning to help convergence in the standard-ceil design automation methodology." in P roc. DAC, June 1999, pp. 592-597.
    • (1999) P Roc. DAC , pp. 592-597
    • Kapadia, H.1
  • 43
    • 0032307331 scopus 로고    scopus 로고
    • Wireplanning in logic synthesis
    • Nov.
    • W. Gosti et al., "Wireplanning in logic synthesis," in Proc. ICCAD, Nov. 1998, p. 26.
    • (1998) Proc. ICCAD , pp. 26
    • Gosti, W.1
  • 44
    • 33646930361 scopus 로고    scopus 로고
    • Tuning driven placement in interaction with netlist tranitbrmations
    • Apr.
    • G. Stenz et al., "Tuning driven placement in interaction with netlist tranitbrmations," in Proc. ISPD, Apr. 1997, pp. 56-41.
    • (1997) Proc. ISPD , pp. 56-141
    • Stenz, G.1
  • 45
    • 33646934682 scopus 로고    scopus 로고
    • Incremental timing optimization tcr physical design by interacting logic restructuring and layoul
    • May
    • M. Lee et al., "Incremental timing optimization tcr physical design by interacting logic restructuring and layoul," in P roc. ACM/IEEE Int. Workshop on Logic Synthesis, May 1998, pp. 508-513.
    • (1998) P Roc. ACM/IEEE Int. Workshop on Logic Synthesis , pp. 508-513
    • Lee, M.1
  • 46
    • 1342294326 scopus 로고    scopus 로고
    • A DSM design flow: Pulling floorplanning, technology mappina, and gate placemen: together
    • June
    • A. Salek et al., "A DSM design flow: Pulling floorplanning, technology mappina, and gate placemen: together," in Proc. DAC, June 1998, pp. 287-290.
    • (1998) Proc. DAC , pp. 287-290
    • Salek, A.1
  • 47
    • 0032636952 scopus 로고    scopus 로고
    • Getting to the bottom of deep submicron II: A global wiring paradigm
    • Apr.
    • D. Sylvester et al., "Getting to the bottom of deep submicron II: A global wiring paradigm," in Proc. ISPD. Apr. 1999, pp. 193-200.
    • (1999) Proc. ISPD. , pp. 193-200
    • Sylvester, D.1
  • 49
    • 0033717865 scopus 로고    scopus 로고
    • Clock rate versus IPC: The end of the road for conventional microarchitectures
    • June
    • V. Agarwal et al., "Clock rate versus IPC: The end of the road for conventional microarchitectures," in Proc. 27th Int. Symp. Computer Architecture, June 2000, pp. 248-259.
    • (2000) Proc. 27th Int. Symp. Computer Architecture , pp. 248-259
    • Agarwal, V.1
  • 50
    • 85013776295 scopus 로고    scopus 로고
    • VLSI architecture: Past, present, and future
    • Jan.
    • W. Daily et al., "VLSI architecture: Past, present, and future," in Proc. Conf. Advanced Research in VLSI. Jan. 1999, pp. 232-241.
    • (1999) Proc. Conf. Advanced Research in VLSI. , pp. 232-241
    • Daily, W.1
  • 51
    • 0031069405 scopus 로고    scopus 로고
    • A 600 MHz superscalar RISC microprocessor wiih out-of-order execution
    • Feb.
    • B. A. Gieseke et al., "A 600 MHz superscalar RISC microprocessor wiih out-of-order execution," in ISSCC Dig. Tech. Papers, Feb. 1997, pp. 176-177.
    • (1997) ISSCC Dig. Tech. Papers , pp. 176-177
    • Gieseke, B.A.1
  • 53
    • 0033688597 scopus 로고    scopus 로고
    • Smart memories: A modular reconfigurable architecture
    • June
    • K. Mai et al., "Smart memories: A modular reconfigurable architecture," in Proc. 27th Int. Syntp. Computer Architecture, June 2000, pp. 161-171.
    • (2000) Proc. 27th Int. Syntp. Computer Architecture , pp. 161-171
    • Mai, K.1
  • 54
    • 0031238171 scopus 로고    scopus 로고
    • Scalable processors in the billion-transistor era: IRAM
    • Sept.
    • C. E. Kozyrakis et al., "Scalable processors in the billion-transistor era: IRAM," IEEE Computer, pp. 75-78, Sept. 1997.
    • (1997) IEEE Computer , pp. 75-78
    • Kozyrakis, C.E.1
  • 56
    • 0031236158 scopus 로고    scopus 로고
    • Baring it all to software: Raw machines
    • Sept.
    • E. Waingold et al., "Baring it all to software: Raw machines," IEEE Computer, pp. 86-93, Sept. 1997.
    • (1997) IEEE Computer , pp. 86-93
    • Waingold, E.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.