메뉴 건너뛰기




Volumn 19, Issue 2, 2000, Pages 242-252

A global wiring paradigm for deep submicron design

Author keywords

Integrated circuit interconnections; Integrated circuit modeling; Routing; Ultra large scale integration

Indexed keywords

CAPACITANCE; COMPUTER AIDED DESIGN; COMPUTER SIMULATION; ELECTRIC NETWORK ANALYSIS; ELECTRIC RESISTANCE; INTERCONNECTION NETWORKS; SEMICONDUCTOR DEVICE MANUFACTURE; SPURIOUS SIGNAL NOISE; ULSI CIRCUITS;

EID: 0033903824     PISSN: 02780070     EISSN: None     Source Type: Journal    
DOI: 10.1109/43.828553     Document Type: Article
Times cited : (140)

References (20)
  • 1
    • 0032307685 scopus 로고    scopus 로고
    • "Getting to the bottom of deep submicron," in
    • 1998, pp. 203-211.
    • D. Sylvester and K. Keutzer, "Getting to the bottom of deep submicron," in Proc. ICCAD, 1998, pp. 203-211.
    • Proc. ICCAD
    • Sylvester, D.1    Keutzer, K.2
  • 3
    • 0030383519 scopus 로고    scopus 로고
    • 0.25 ftm logic technology optimized for 1.8 V operation," in Proc. IEDM. 1996, pp. 847-850.
    • M. Bohr et al, "A high performance 0.25 ftm logic technology optimized for 1.8 V operation," in Proc. IEDM. 1996, pp. 847-850.
    • Et Al, "A High Performance
    • Bohr, M.1
  • 6
    • 0029207481 scopus 로고    scopus 로고
    • "Performance trends in high-end processors,"
    • 20-36, Jan. 1995.
    • G. A. Sai-Halasz, "Performance trends in high-end processors," Proc. IEEE, pp. 20-36, Jan. 1995.
    • Proc. IEEE, Pp.
    • Sai-Halasz, G.A.1
  • 8
    • 0031619819 scopus 로고    scopus 로고
    • "Stochastic net length distributions for global interconnects in a heterogeneous system-on-a-chip," in
    • 1998, pp. 44-45.
    • P. Zarkesh-Ha and J. D. Meindl, "Stochastic net length distributions for global interconnects in a heterogeneous system-on-a-chip," in Proc. VLSI Symp. Tech., 1998, pp. 44-45.
    • Proc. VLSI Symp. Tech.
    • Zarkesh-Ha, P.1    Meindl, J.D.2
  • 9
    • 0031645246 scopus 로고    scopus 로고
    • "Interconnect scaling: Signal integrity and performance in future high-speed CMOS designs," in
    • 1998, pp. 42-43.
    • D. Sylvester, C. Hu, O. S. Nakagawa, and S. Y. Oh, "Interconnect scaling: Signal integrity and performance in future high-speed CMOS designs," in Proc. VLSI Symp. Technol., 1998, pp. 42-43.
    • Proc. VLSI Symp. Technol.
    • Sylvester, D.1    Hu, C.2    Nakagawa, O.S.3    Oh, S.Y.4
  • 10
    • 0018453798 scopus 로고    scopus 로고
    • "Placement and average interconnection lengths of computer logic,"
    • vol. 26, pp. 272-277', Apr. 1979.
    • W. E. Donath, "Placement and average interconnection lengths of computer logic," IEEE Trans. Circuits and Systems, vol. 26, pp. 272-277', Apr. 1979.
    • IEEE Trans. Circuits and Systems
    • Donath, W.E.1
  • 11
    • 0028517129 scopus 로고    scopus 로고
    • "Time-domain macromodels for VLSI interconnect analysis,"
    • vol. 13, pp. 1257-1270, Oct. 1994.
    • S.-Y. Kim, N. Gopal, and L. Pillegi, "Time-domain macromodels for VLSI interconnect analysis," IEEE Trans. Computer-Aided Design, vol. 13, pp. 1257-1270, Oct. 1994.
    • IEEE Trans. Computer-Aided Design
    • Kim, S.-Y.1    Gopal, N.2    Pillegi, L.3
  • 12
    • 0031622746 scopus 로고    scopus 로고
    • "Figures of merit to characterize the importance of on-chip inductance," in
    • 1998, pp. 560-565.
    • Y I. Ismail, E. G. Friedman, and J. L. Neves, "Figures of merit to characterize the importance of on-chip inductance," in Proc. DAC, 1998, pp. 560-565.
    • Proc. DAC
    • Ismail, Y.I.1    Friedman, E.G.2    Neves, J.L.3
  • 13
    • 0031623454 scopus 로고    scopus 로고
    • "Layout techniques for minimizing on-chip interconnect self-inductance," in
    • 1998, pp. 566-571.
    • Y Massoud, S. Majors, T. Bustami, and J. White, "Layout techniques for minimizing on-chip interconnect self-inductance," in Proc. DAC, 1998, pp. 566-571.
    • Proc. DAC
    • Massoud, Y.1    Majors, S.2    Bustami, T.3    White, J.4
  • 14
    • 0032206398 scopus 로고    scopus 로고
    • "Clocking design and analysis for a 600-MHz Alpha microprocessor,"
    • vol. 33, pp. 1627-1633, Nov. 1998.
    • D. W. Bailey and B. J. Benschneider, "Clocking design and analysis for a 600-MHz Alpha microprocessor," IEEE J. Solid-State Circuits, vol. 33, pp. 1627-1633, Nov. 1998.
    • IEEE J. Solid-State Circuits
    • Bailey, D.W.1    Benschneider, B.J.2
  • 15
    • 33748174014 scopus 로고    scopus 로고
    • Berkeley Advanced Chip Performance Calculator. Univ. California, Berkeley, CA. [Online]. Available: http://www-device.eecs.berkeley.edu/~dennis/BACPAC.
    • Berkeley Advanced Chip Performance Calculator. Univ. California, Berkeley, CA. [Online]. Available: http://www-device.eecs.berkeley.edu/~dennis/BACPAC.
  • 16
    • 0027222295 scopus 로고    scopus 로고
    • "Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSI's,"
    • vol. 40, pp. 118-124, Jan. 1993.
    • T. Sakurai, "Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSI's," IEEE Trans. Electron Devices, vol. 40, pp. 118-124, Jan. 1993.
    • IEEE Trans. Electron Devices
    • Sakurai, T.1
  • 17
    • 0031077629 scopus 로고    scopus 로고
    • "Package clock distribution design optimization for high-speed and low-power VLSI's,"
    • vol. 20, pp. 56-63, Feb. 1997.
    • Q. Zhu and S. Tarn, "Package clock distribution design optimization for high-speed and low-power VLSI's," IEEE Trans. Comp., Packag., Manufact. Technol., vol. 20, pp. 56-63, Feb. 1997.
    • IEEE Trans. Comp., Packag., Manufact. Technol.
    • Zhu, Q.1    Tarn, S.2
  • 20
    • 0022670257 scopus 로고    scopus 로고
    • "Power distribution techniques for VLSI circuits,"
    • vol. 21, pp. 150-156, Feb. 1986.
    • W. S. Song and L. A. Classer, "Power distribution techniques for VLSI circuits," IEEE J. Solid-State Circuits, vol. 21, pp. 150-156, Feb. 1986.
    • IEEE J. Solid-State Circuits
    • Song, W.S.1    Classer, L.A.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.