메뉴 건너뛰기




Volumn , Issue , 2007, Pages 1-525

Processor design: System-on-chip computing for ASICs and FPGAs

Author keywords

[No Author keywords available]

Indexed keywords


EID: 84891993187     PISSN: None     EISSN: None     Source Type: Book    
DOI: 10.1007/978-1-4020-5530-0     Document Type: Book
Times cited : (42)

References (469)
  • 2
    • 0033717865 scopus 로고    scopus 로고
    • Clock rate versus IPC: The end of the road for conventional microarchitectures
    • Agarwal V, Hrishikesh MS, Keckler SW, Burger D (2000) Clock Rate versus IPC: The End of the Road for Conventional Microarchitectures. In Proc. ISCA, pp 248-259
    • (2000) Proc. ISCA , pp. 248-259
    • Agarwal, V.1    Hrishikesh, M.S.2    Keckler, S.W.3    Burger, D.4
  • 11
    • 84892077456 scopus 로고    scopus 로고
    • Altera (2007) company web page http://www.altera.com
    • (2007) Company Web Page
  • 14
    • 84892134092 scopus 로고    scopus 로고
    • Altera (2007) Nios II. http://www.altera.com/nios2
    • (2007) Nios II
  • 15
    • 84892114694 scopus 로고    scopus 로고
    • Altera (2007) FPU DFPAU (visited on January 2007). Available at http://www.altera.com/products/ip/dsp/arithmetic/m-dcd-dfpau.html
    • (2007) FPU DFPAU (visited on January 2007)
  • 17
    • 33645977177 scopus 로고    scopus 로고
    • Xbox 360 system architecture
    • Andrews J, Baker N (2006) Xbox 360 System Architecture. IEEE Micro, 26(2):25-37
    • (2006) IEEE Micro , vol.26 , Issue.2 , pp. 25-37
    • Andrews, J.1    Baker, N.2
  • 21
    • 2342484832 scopus 로고    scopus 로고
    • Advanced RISC Machines Ltd., Revision 3
    • ARM (2000) ARM9TDMI, Technical Reference Manual. Advanced RISC Machines Ltd., Revision 3
    • (2000) ARM9TDMI, Technical Reference Manual
  • 22
    • 0003495311 scopus 로고
    • Advanced RISC Machines Ltd., Version 2.0
    • ARM (1995) An Introduction to Thumb. Advanced RISC Machines Ltd., Version 2.0
    • (1995) An Introduction to Thumb
  • 24
    • 84891992324 scopus 로고    scopus 로고
    • visited on January 2007
    • ARM (2007) VFP9-S coprocessor. Available at http://www.arm.com/products/ CPUs/VFP9-S.html (visited on January 2007)
    • (2007) VFP9-S Coprocessor
  • 28
    • 84892097546 scopus 로고    scopus 로고
    • ASIP Meister (2007) http://www.eda-meister.org
    • (2007)
  • 29
    • 0027561268 scopus 로고
    • Processor configuration through instruction set metamorphosis
    • Athanas R, Silvermann H (1993) Processor Configuration Through Instruction Set Metamorphosis. IEEE Computer, 26(3):11-18
    • (1993) IEEE Computer , vol.26 , Issue.3 , pp. 11-18
    • Athanas, R.1    Silvermann, H.2
  • 30
    • 0026242244 scopus 로고
    • Performance and the i860 microprocessor
    • October:, 72-78
    • Atkins M (1991) Performance and the i860 Microprocessor. IEEE Micro, October: 11(5):24-27, 72-78
    • (1991) IEEE Micro , vol.11 , Issue.5 , pp. 24-27
    • Atkins, M.1
  • 32
    • 0037249763 scopus 로고    scopus 로고
    • A total cost approach to evaluating different reconfigurable architectures for baseband processing in wireless receivers
    • Baines R, Pulley D (2003) A Total Cost Approach to Evaluating Different Reconfigurable Architectures for Baseband Processing in Wireless Receivers. IEEE Communication Magazine, 41(1):105-113
    • (2003) IEEE Communication Magazine , vol.41 , Issue.1 , pp. 105-113
    • Baines, R.1    Pulley, D.2
  • 33
    • 84892088831 scopus 로고
    • Interconnections and Packaging for VLSI. Addison-Wesley
    • Bakoglu HB (1990) Circuits, Interconnections and Packaging for VLSI. Addison-Wesley
    • (1990) Circuits
    • Bakoglu, H.B.1
  • 34
  • 35
    • 84892093975 scopus 로고    scopus 로고
    • The nios II family of configurable soft-core processors
    • Stanford, CA, 2005
    • Ball J (2005) The Nios II Family of Configurable Soft-core Processors. In Proc. Hot Chips 17, Stanford, CA, 2005
    • (2005) Proc. Hot Chips 17
    • Ball, J.1
  • 38
    • 0036709503 scopus 로고    scopus 로고
    • Reconfigurable instruction set processors from a hardware/software perspective
    • Barat F, Lauwereins R, Deconinck G (2002) Reconfigurable Instruction Set Processors from a Hardware/Software Perspective. IEEE Transactions on Software Engineering, 28(9):847-862
    • (2002) IEEE Transactions on Software Engineering , vol.28 , Issue.9 , pp. 847-862
    • Barat, F.1    Lauwereins, R.2    Deconinck, G.3
  • 39
    • 0032630442 scopus 로고    scopus 로고
    • Maps: A compiler-managed memory system for raw machines
    • Barua R, Lee W, Amarasinghe S, Agarwal A (1999) Maps: A Compiler-Managed Memory System for Raw Machines. In Proc. ISCA, pp 4-15
    • (1999) Proc. ISCA , pp. 4-15
    • Barua, R.1    Lee, W.2    Amarasinghe, S.3    Agarwal, A.4
  • 40
    • 0032691811 scopus 로고    scopus 로고
    • Instruction randomization self test for processor cores
    • Batcher K, Papachristou C (1999) Instruction Randomization Self Test for Processor Cores. In Proc. VLSI Test Symposium, pp 34-40
    • (1999) Proc. VLSI Test Symposium , pp. 34-40
    • Batcher, K.1    Papachristou, C.2
  • 42
    • 80052233566 scopus 로고    scopus 로고
    • Technical Report, Berkeley Design Technologies, Inc
    • BDTI (2000) Choosing a DSP Processor. Technical Report, Berkeley Design Technologies, Inc.
    • (2000) Choosing a DSP Processor
  • 44
    • 0003592777 scopus 로고    scopus 로고
    • 2004 Edition. Berkeley Design Technology, Inc
    • BDTI (2004) Buyer's Guide to DSP Processors, 2004 Edition. Berkeley Design Technology, Inc.
    • (2004) Buyer's Guide to DSP Processors
  • 45
    • 84893771784 scopus 로고    scopus 로고
    • An industrial/academic configurable system-on-chip project (CsoC): Coarse-Grain XPP-/Leon-Based Architecture Integration
    • Becker J, Thomas A, Vorbach M, Baumgarte V (2003) An Industrial/Academic Configurable System-on-Chip Project (CsoC): Coarse-Grain XPP-/Leon-Based Architecture Integration. In Proc. DATE, pp 11-12
    • (2003) Proc. DATE , pp. 11-12
    • Becker, J.1    Thomas, A.2    Vorbach, M.3    Baumgarte, V.4
  • 47
    • 34047148457 scopus 로고    scopus 로고
    • An effective technique for minimizing the cost of processor software-based diagnosis in SoCs
    • Bernardi P, Sanchez E, Schillaci M, Squillero G, Sonza Reorda M (2006) An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis in SoCs. In Proc. DATE, pp 412-417
    • (2006) Proc. DATE , pp. 412-417
    • Bernardi, P.1    Sanchez, E.2    Schillaci, M.3    Squillero, G.4    Reorda, M.S.5
  • 48
    • 0004531867 scopus 로고    scopus 로고
    • Technical Report 842, Institut National de Recherche en Informatique et en Automatique, France, May 1988. Verified 2007-01-24
    • Berry G, Gonthier G (1998) The Esterel Synchronous Programming Language: Design, Semantics, Implementation. Technical Report 842, Institut National de Recherche en Informatique et en Automatique, France, May 1988. Available at http://www.inria.fr/rrrt/rr-0842.html. Verified 2007-01-24
    • (1998) The Esterel Synchronous Programming Language: Design, Semantics, Implementation
    • Berry, G.1    Gonthier, G.2
  • 49
    • 0141930781 scopus 로고    scopus 로고
    • Challenges and opportunities of FPGA platforms
    • Bolsen I (2002) Challenges and Opportunities of FPGA Platforms. In Proc. FPL, pp 391-392
    • (2002) Proc. FPL , pp. 391-392
    • Bolsen, I.1
  • 51
    • 0037344580 scopus 로고    scopus 로고
    • A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and Customizable I/O
    • Borgatti M, Lertora F, Foret B, Cali L (2003) A Reconfigurable System Featuring Dynamically Extensible Embedded Microprocessor, FPGA, and Customizable I/O. IEEE Journal of Solid-State Circuits (JSSC), 38(3):521-529
    • (2003) IEEE Journal of Solid-State Circuits (JSSC) , vol.38 , Issue.3 , pp. 521-529
    • Borgatti, M.1    Lertora, F.2    Foret, B.3    Cali, L.4
  • 52
    • 0028705546 scopus 로고
    • Hardware-software-codesign of application specific microcontrollers with the ASM environment
    • Both A et al. (1994) Hardware-Software-Codesign of Application Specific Microcontrollers with the ASM Environment. In Proc. Conference on European Design Automation, pp 72-76
    • (1994) Proc. Conference on European Design Automation , pp. 72-76
    • Both, A.1
  • 54
    • 0030171884 scopus 로고    scopus 로고
    • Architecture of FPGAs and CPLDs: A tutorial
    • Brown S and Rose J (1996) Architecture of FPGAs and CPLDs: A Tutorial. IEEE Design Test of Computers, 13(2):42-57
    • (1996) IEEE Design Test of Computers , vol.13 , Issue.2 , pp. 42-57
    • Brown, S.1    Rose, J.2
  • 57
    • 34547339219 scopus 로고    scopus 로고
    • A VHDL model and implementation of a coarse-grain reconfigurable coprocessor for a RISC core
    • Brunelli C, Cinelli F, Rossi D, Nurmi J (2006) A VHDL Model and Implementation of a Coarse-Grain Reconfigurable Coprocessor for a RISC Core. In Proc. PRIME, pp 229-232
    • (2006) Proc. PRIME , pp. 229-232
    • Brunelli, C.1    Cinelli, F.2    Rossi, D.3    Nurmi, J.4
  • 58
    • 46149096489 scopus 로고    scopus 로고
    • A coarse-grain reconfigurable machine with floating-point arithmetic capabilities
    • Montpellier, France
    • Brunelli C, Garzia F, Nurmi J (2006) A Coarse-Grain Reconfigurable Machine with Floating-Point Arithmetic Capabilities. Invited paper in Proc. ReCoSoC'06, Montpellier, France, pp 1-7
    • (2006) Invited Paper in Proc. ReCoSoC'06 , pp. 1-7
    • Brunelli, C.1    Garzia, F.2    Nurmi, J.3
  • 59
    • 0032628852 scopus 로고    scopus 로고
    • Fast compilation for pipelined reconfigur-able fabrics
    • Budiu M, Goldstein SC (1999) Fast Compilation for Pipelined Reconfigur-able Fabrics. In Proc. FPGA, pp 195-205
    • (1999) Proc. FPGA , pp. 195-205
    • Budiu, M.1    Goldstein, S.C.2
  • 60
    • 0031277174 scopus 로고    scopus 로고
    • Limited bandwidth to affect processor design
    • Burger D, Goodman JR, Kagi A (1997) Limited Bandwidth to Affect Processor Design. IEEE Micro, 17(6):55-62
    • (1997) IEEE Micro , vol.17 , Issue.6 , pp. 55-62
    • Burger, D.1    Goodman, J.R.2    Kagi, A.3
  • 61
    • 84892032973 scopus 로고    scopus 로고
    • Cadence (2007) The Virtual Component Co-Design (VCC) Available at http://www.cadence.com/company/success-stories/success.aspx?xml=philips-ss. verified 2007-01-24
    • (2007) The Virtual Component Co-Design (VCC)
  • 62
    • 84892098256 scopus 로고    scopus 로고
    • consulted 18 January 2007, URL
    • CaffeineMark 3.0 (2007) Benchmark Information, consulted 18 January 2007, URL: http://www.benchmarkhq.ru/cm30/info.html
    • (2007) Benchmark Information
  • 69
    • 84892076534 scopus 로고
    • A survey of high-level language computer architecture
    • Chu Y ed, Academic Press, New York, Chapter 3
    • Carlson CR (1975) A Survey of High-Level Language Computer Architecture. In Chu Y (ed) High-Level Language Computer Architecture. Academic Press, New York, Chapter 3
    • (1975) High-Level Language Computer Architecture
    • Carlson, C.R.1
  • 70
    • 84892042405 scopus 로고    scopus 로고
    • Verified 2007-01-24
    • Celoxica (2007) Technical Library. Available at http://www.celoxica.com/ techlib/default.asp?Action=1&CatID=9&CatType=2&OrderBy=1. Verified 2007-01-24
    • (2007) Technical Library
  • 71
    • 11944274850 scopus 로고    scopus 로고
    • Uniform-phase uniform-amplitude resonant-load global clock distributions
    • Chan SC, Shepard KL, Restle PJ (2005) Uniform-Phase Uniform-Amplitude Resonant-Load Global Clock Distributions. IEEE Journal of Solid-State Circuits, 40(1):102-109
    • (2005) IEEE Journal of Solid-State Circuits , vol.40 , Issue.1 , pp. 102-109
    • Chan, S.C.1    Shepard, K.L.2    Restle, P.J.3
  • 74
    • 0036693108 scopus 로고    scopus 로고
    • Testing for interconnect crosstalk defects using on-chip embedded processor cores
    • Chen L, Bai X, Dey S (2002), Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. Journal of Electronic Testing: Theory and Applications, 18(4):529-538
    • (2002) Journal of Electronic Testing: Theory and Applications , vol.18 , Issue.4 , pp. 529-538
    • Chen, L.1    Bai, X.2    Dey, S.3
  • 76
    • 0036054357 scopus 로고    scopus 로고
    • Software-based diagnosis for processors
    • Chen L, Dey S (2002) Software-Based Diagnosis for Processors. In Proc. DAC, pp 259-262
    • (2002) Proc. DAC , pp. 259-262
    • Chen, L.1    Dey, S.2
  • 77
    • 0042134725 scopus 로고    scopus 로고
    • A scalable software-based self-testing methodology for programmable processors
    • Chen L, Ravi S, Raghunathan A, Dey S (2003) A Scalable Software-Based Self-Testing Methodology for Programmable Processors. In Proc. DAC, pp 548-553
    • (2003) Proc. DAC , pp. 548-553
    • Chen, L.1    Ravi, S.2    Raghunathan, A.3    Dey, S.4
  • 78
    • 3342983294 scopus 로고    scopus 로고
    • Edholm's law of bandwidth
    • July 2004
    • Cherry S (2004) Edholm's Law of Bandwidth. In IEEE Spectrum, July 2004, pp 58-60
    • (2004) IEEE Spectrum , pp. 58-60
    • Cherry, S.1
  • 80
    • 0032320170 scopus 로고    scopus 로고
    • Synthesis of application specific instructions for embedded DSP software
    • Choi H et al. (1998) Synthesis of Application Specific Instructions for Embedded DSP Software. In Proc. ICCAD, pp 665-671
    • (1998) Proc. ICCAD , pp. 665-671
    • Choi, H.1
  • 82
    • 33646910281 scopus 로고
    • Macromodular computer systems
    • Waxman BD, Stacey R eds, Academic Press, New York
    • Clark WA, Molnar CE (1974) Macromodular Computer Systems. In Waxman BD, Stacey R (eds) Computers in Biomedical Research, Vol IV, pp 45-85, Academic Press, New York
    • (1974) Computers in Biomedical Research , vol.4 , pp. 45-85
    • Clark, W.A.1    Molnar, C.E.2
  • 83
    • 27444443319 scopus 로고    scopus 로고
    • Automated custom instruction generation for domain-specific processor acceleration
    • Clark NT, Zhong H, Mahlke SA (2005) Automated Custom Instruction Generation for Domain-Specific Processor Acceleration. IEEE Transactions on Computers, 54(10):1258-1270
    • (2005) IEEE Transactions on Computers , vol.54 , Issue.10 , pp. 1258-1270
    • Clark, N.T.1    Zhong, H.2    Mahlke, S.A.3
  • 87
    • 84968470212 scopus 로고
    • An algorithm for the machine calculation of complex fourier series
    • Cooley J, Tukey J (1965) An Algorithm for the Machine Calculation of Complex Fourier Series. Mathematics of Computation, Vol 19, pp 297-301
    • (1965) Mathematics of Computation , vol.19 , pp. 297-301
    • Cooley, J.1    Tukey, J.2
  • 90
    • 1642612182 scopus 로고    scopus 로고
    • Fully automatic test program generation for microprocessor cores
    • Corno F, Cumani G, Sonza Reorda M, Squillero G (2003) Fully Automatic Test Program Generation for Microprocessor Cores. In Proc. DATE, pp 1006-1011
    • (2003) Proc. DATE , pp. 1006-1011
    • Corno, F.1    Cumani, G.2    Reorda, M.S.3    Squillero, G.4
  • 93
    • 70449353245 scopus 로고    scopus 로고
    • Verified 2007-01-25
    • CoWare (2007) Processor Designer. Available at http://www.coware.com/ products/processordesigner.php. Verified 2007-01-25
    • (2007) Processor Designer
  • 97
    • 0034174025 scopus 로고    scopus 로고
    • The density advantage of reconfigurable computing
    • DeHon A (2000) The Density Advantage of Reconfigurable Computing. IEEE Computer, 33(4): pp 41-49
    • (2000) IEEE Computer , vol.33 , Issue.4 , pp. 41-49
    • DeHon, A.1
  • 98
    • 0032668914 scopus 로고    scopus 로고
    • Reconfigurable computing: What, why and implications for design automation
    • DeHon A, Wawrzynek J (1999) Reconfigurable Computing: What, Why and Implications for Design Automation. In Proc. DAC, 1999, pp 610-615
    • (1999) Proc. DAC, 1999 , pp. 610-615
    • DeHon, A.1    Wawrzynek, J.2
  • 100
    • 84893562168 scopus 로고    scopus 로고
    • Hardware synthesis from C/C++ Models
    • De Micheli G (1999) Hardware Synthesis from C/C++ Models. In Proc. DATE
    • (1999) Proc. DATE
    • De Micheli, G.1
  • 102
    • 33746880687 scopus 로고    scopus 로고
    • Performance improvements using coarse-grain reconfigurable logic in embedded SOCs
    • Dimitroulakos G, Galanis M, Goutis C (2005) Performance Improvements Using Coarse-grain Reconfigurable Logic in Embedded SOCs. In Proc. FPL, pp 630-635
    • (2005) Proc. FPL , pp. 630-635
    • Dimitroulakos, G.1    Galanis, M.2    Goutis, C.3
  • 105
    • 0023594057 scopus 로고
    • Design tradeoffs to support the C programming language in the CRISP Microprocessor
    • Ditzel DR, McLellan HR, Berenbaum AD (1987) Design Tradeoffs to Support the C Programming Language in the CRISP Microprocessor. In Proc. ASPLOS 1987 pp 158-163
    • (1987) Proc. ASPLOS 1987 , pp. 158-163
    • Ditzel, D.R.1    McLellan, H.R.2    Berenbaum, A.D.3
  • 107
    • 0019565820 scopus 로고
    • Wire length distribution for placements of computer logic
    • Donath W (1981) Wire Length Distribution for Placements of Computer Logic. IBM Journal of Research and Development 25(3):152-155
    • (1981) IBM Journal of Research and Development , vol.25 , Issue.3 , pp. 152-155
    • Donath, W.1
  • 108
    • 84891961655 scopus 로고
    • Architecture of stack machines
    • Chu Y ed 1975, Academic Press, New York, Chapter 4
    • Doran RW (1975) Architecture of Stack Machines. In: Chu Y (ed) (1975) High-Level Language Computer Architecture. Academic Press, New York, Chapter 4
    • (1975) High-Level Language Computer Architecture
    • Doran, R.W.1
  • 109
    • 84891998002 scopus 로고    scopus 로고
    • Sun offers servers based on 8-core processors
    • December 6
    • Dunn D (2005) Sun Offers Servers Based on 8-Core Processors. In Information Week, December 6. Available at http://www.informationweek.com
    • (2005) Information Week
    • Dunn, D.1
  • 113
    • 84892112325 scopus 로고    scopus 로고
    • Optimal multilevel interconnect technologies for gigascale integration (GSI)
    • Eble JC, De VK, Davis JA, Meindl JD (1996) Optimal Multilevel Interconnect Technologies for Gigascale Integration (GSI). In Proc. VMIC Conference, pp 40-45
    • (1996) Proc. VMIC Conference , pp. 40-45
    • Eble, J.C.1    De, V.K.2    Davis, J.A.3    Meindl, J.D.4
  • 116
    • 0036173333 scopus 로고    scopus 로고
    • Balsa: An asynchronous hardware synthesis language
    • Edwards DA, Bardsley A (2002) Balsa: An Asynchronous Hardware Synthesis Language. The Computer Journal 45(1):12-18
    • (2002) The Computer Journal , vol.45 , Issue.1 , pp. 12-18
    • Edwards, D.A.1    Bardsley, A.2
  • 117
    • 84892070609 scopus 로고    scopus 로고
    • EEMBC Benchmark Suite http://www.eembc.org
  • 119
    • 84892023557 scopus 로고    scopus 로고
    • Elixent Ltd (2007) http://www.elixent.com
    • (2007)
  • 122
    • 84959101495 scopus 로고
    • Organization of computer systems: The fixed-plus variable structure computer
    • Am. Inst. Electrical Engineers, New York
    • Estrin G (1960) Organization of Computer Systems: The Fixed-Plus Variable Structure Computer. In Proc. Westem Joint Computer Conference, Am. Inst. Electrical Engineers, New York, pp 33-40
    • (1960) Proc. Westem Joint Computer Conference , pp. 33-40
    • Estrin, G.1
  • 123
    • 44949281310 scopus 로고
    • Dimensions of personality: 16, 5, or 3?-Criteria for a taxonomic paradigm
    • Eysenck HJ (1991) Dimensions of personality: 16, 5, or 3?-Criteria for a Taxonomic Paradigm. Personality and Individual Differences 12:773-790
    • (1991) Personality and Individual Differences , vol.12 , pp. 773-790
    • Eysenck, H.J.1
  • 127
    • 0019070070 scopus 로고
    • Directions and issues in architecture and language
    • October
    • Flynn MJ (1980) Directions and Issues in Architecture and Language. IEEE Computer, October: 13(10):5-22
    • (1980) IEEE Computer , vol.13 , Issue.10 , pp. 5-22
    • Flynn, M.J.1
  • 129
    • 0032592098 scopus 로고    scopus 로고
    • Deep-submicron microprocessor design issues
    • July-August
    • Flynn MJ, Hung P, Rudd KW (1999) Deep-Submicron Microprocessor Design Issues. IEEE Micro, July-August: 19(4):11-22
    • (1999) IEEE Micro , vol.19 , Issue.4 , pp. 11-22
    • Flynn, M.J.1    Hung, P.2    Rudd, K.W.3
  • 132
    • 0034998502 scopus 로고    scopus 로고
    • Evaluation of the streams-C C-to-FPGA compiler: An applications perspective
    • Frigo J, Gokhale M, Lavenier D (2001) Evaluation of the Streams-C C-to-FPGA Compiler: An Applications Perspective. In Proc. FPGA, pp 134-140
    • (2001) Proc. FPGA , pp. 134-140
    • Frigo, J.1    Gokhale, M.2    Lavenier, D.3
  • 135
    • 84892061474 scopus 로고    scopus 로고
    • visited on January 2007
    • Gaisler Research (2007) http://www.gaisler.com (visited on January 2007).
    • (2007)
  • 138
    • 84947917161 scopus 로고    scopus 로고
    • Mapping DSP applications to a high-performance reconfigurable coarse-grain data-path
    • Galanis M, Theodoridis G, Tragoudas S, Soudris D, Goutis C (2004) Mapping DSP Applications to a High-Performance Reconfigurable Coarse-Grain Data-Path. In Proc. FPL, pp 868-873
    • (2004) Proc. FPL , pp. 868-873
    • Galanis, M.1    Theodoridis, G.2    Tragoudas, S.3    Soudris, D.4    Goutis, C.5
  • 145
    • 84892058631 scopus 로고    scopus 로고
    • Kaunas University of Technology, ISSN 1392-2114 Ultragarsas, Nr. 2
    • Genutis M, Kazanavicius E (2001) Benchmarking in DSP. Kaunas University of Technology, ISSN 1392-2114 Ultragarsas, Nr. 2 (39)
    • (2001) Benchmarking in DSP , Issue.39
    • Genutis, M.1    Kazanavicius, E.2
  • 147
  • 150
    • 0030647234 scopus 로고    scopus 로고
    • A result forwarding mechanism for asynchronous pipelined systems
    • Gilbert DA, Garside JD (1997) A Result Forwarding Mechanism for Asynchronous Pipelined Systems. In Proc. Async'97, pp 2-11
    • (1997) Proc. Async'97 , pp. 2-11
    • Gilbert, D.A.1    Garside, J.D.2
  • 151
  • 153
    • 0002288573 scopus 로고    scopus 로고
    • Pentium 4 (partially) previewed
    • August 28
    • Glaskowsky P (2000) Pentium 4(Partially) Previewed. Microprocessor Report, August 28, 14 (8):10-13
    • (2000) Microprocessor Report , vol.14 , Issue.8 , pp. 10-13
    • Glaskowsky, P.1
  • 154
    • 0008747291 scopus 로고    scopus 로고
    • Athlon edges out pentium 4
    • January 8
    • Glaskowsky P (2001) Athlon Edges Out Pentium 4. Microprocessor Report, January 8, 15 (1)
    • (2001) Microprocessor Report , vol.15 , Issue.1
    • Glaskowsky, P.1
  • 157
    • 84892007113 scopus 로고    scopus 로고
    • GNU project web pages at http://www.gnu.org
  • 169
    • 39749169032 scopus 로고    scopus 로고
    • Automatic generation of instruction sequences targeting hard-to-detect structural faults in a processor
    • paper 27.3
    • Gurumurthy S, Vasudevan S, Abraham JA (2006) Automatic Generation of Instruction Sequences Targeting Hard-to-Detect Structural Faults in a Processor. In Proc. IEEE International Test Conference, paper 27.3
    • (2006) Proc. IEEE International Test Conference
    • Gurumurthy, S.1    Vasudevan, S.2    Abraham, J.A.3
  • 171
    • 4644338714 scopus 로고    scopus 로고
    • Coppermine outruns athlon
    • October
    • Gwennap L (1999) Coppermine Outruns Athlon. Microprocessor Report, October, 13(14):1-2
    • (1999) Microprocessor Report , vol.13 , Issue.14 , pp. 1-2
    • Gwennap, L.1
  • 176
  • 180
    • 84893641728 scopus 로고    scopus 로고
    • A decade of reconfigurable computing: A visionary retrospective
    • Hartenstein R (2001) A Decade of Reconfigurable Computing: A Visionary Retrospective. In Proc. DATE, pp 642-649
    • (2001) Proc. DATE , pp. 642-649
    • Hartenstein, R.1
  • 184
    • 17844394732 scopus 로고    scopus 로고
    • The engineering of supersystems
    • Hellestrand G (2005) The Engineering of Supersystems. IEEE Computer, 38(1):103-105
    • (2005) IEEE Computer , vol.38 , Issue.1 , pp. 103-105
    • Hellestrand, G.1
  • 189
    • 27544463966 scopus 로고    scopus 로고
    • PhD Thesis, Department of Electrical Engineering, Linköping University, Sweden
    • Henriksson T (2003) Intra-Packet Data-Flow Protocol Processor. PhD Thesis, Department of Electrical Engineering, Linköping University, Sweden
    • (2003) Intra-Packet Data-Flow Protocol Processor
    • Henriksson, T.1
  • 199
    • 27644567646 scopus 로고    scopus 로고
    • Power efficient processor architecture and the cell processor
    • Hofstee HP (2005) Power Efficient Processor Architecture and the Cell Processor. Invited paper in Proc. HPCA-11, pp 258-262
    • (2005) Invited Paper in Proc. HPCA-11 , pp. 258-262
    • Hofstee, H.P.1
  • 202
    • 0025487068 scopus 로고
    • Figures of merit for system path time estimation
    • Hsi CG, Tucker SG (1990) Figures of Merit for System Path Time Estimation. In Proc. ICCD, pp 49-55
    • (1990) Proc. ICCD , pp. 49-55
    • Hsi, C.G.1    Tucker, S.G.2
  • 203
    • 84892133617 scopus 로고    scopus 로고
    • IEEE P1800, Verified 2007-01-24
    • IEEE P1800 (2007) System Verilog Technical Committee Page. Available at http://www.eda.org/sv/. Verified 2007-01-24
    • (2007) System Verilog Technical Committee Page
  • 204
    • 84892068719 scopus 로고    scopus 로고
    • Verified 2007-01-24
    • Inria (2007) About Esterel Language. Available at http://www-sop. inria.fr/esterel.org/Html/About/AboutEsterel.htm. Verified 2007-01-24
    • (2007) About Esterel Language
  • 205
    • 84892042193 scopus 로고    scopus 로고
    • International Technology Roadmap for Semiconductors 2005 ITRS
    • International Technology Roadmap for Semiconductors (2005) ITRS. Available at http://www.itrs.net
  • 206
    • 84891990685 scopus 로고    scopus 로고
    • IPFlex (2007) www.ipflex.com
    • (2007)
  • 208
    • 0002731162 scopus 로고    scopus 로고
    • Design challenges for new application-specific processors
    • Jacome MF, de Veciana G (2000) Design Challenges for New Application-Specific Processors. IEEE Design & Test of Computers, 17(2):40-50
    • (2000) IEEE Design & Test of Computers , vol.17 , Issue.2 , pp. 40-50
    • Jacome, M.F.1    De Veciana, G.2
  • 209
  • 210
    • 27944442332 scopus 로고    scopus 로고
    • Cost/performance trade-off in floating-point unit design for 3D geometry processor
    • Jeong CH, Park WC, Han TD, Kim SD (1999) Cost/Performance Trade-off in Floating-Point Unit Design for 3D Geometry Processor. In Proc. AP-ASIC, pp 104-107
    • (1999) Proc. AP-ASIC , pp. 104-107
    • Jeong, C.H.1    Park, W.C.2    Han, T.D.3    Kim, S.D.4
  • 211
    • 84892006803 scopus 로고    scopus 로고
    • The design and implementation of CalmlRISC32 floating-point unit
    • Jeong CH, Park WC, Kim SW, Han TD (2000) The Design and Implementation of CalmlRISC32 Floating-Point Unit. In Proc. AP-ASIC, pp 327-330
    • (2000) Proc. AP-ASIC , pp. 327-330
    • Jeong, C.H.1    Park, W.C.2    Kim, S.W.3    Han, T.D.4
  • 213
    • 13244291306 scopus 로고    scopus 로고
    • Efficient template generation for instruction-based self-test of processor cores
    • Kambe K, Inoue M, Fujiwara H (2004) Efficient Template Generation for Instruction-Based Self-Test of Processor Cores. In Proc. IEEE Asian Test Symposium, pp 152-157
    • (2004) Proc. IEEE Asian Test Symposium , pp. 152-157
    • Kambe, K.1    Inoue, M.2    Fujiwara, H.3
  • 219
    • 0032070396 scopus 로고    scopus 로고
    • A reduced clock-swing flip-flop (RCSFF) for 63% power reduction
    • Kawaguchi H, Sakurai T (1998) A Reduced Clock-Swing Flip-Flop (RCSFF) for 63% Power Reduction. IEEE Journal of Solid-State Circuits, 33(5):807-811
    • (1998) IEEE Journal of Solid-State Circuits , vol.33 , Issue.5 , pp. 807-811
    • Kawaguchi, H.1    Sakurai, T.2
  • 227
    • 0036292594 scopus 로고    scopus 로고
    • An ISA and microarchitecture for instruction level distributed processing
    • Kim HS, Smith JE (2002) An ISA and Microarchitecture for Instruction Level Distributed Processing. In Proc. ISCA, pp 71-81
    • (2002) Proc. ISCA , pp. 71-81
    • Kim, H.S.1    Smith, J.E.2
  • 228
    • 1542299262 scopus 로고    scopus 로고
    • Energy characterization of a tiled architecture processor with on-chip networks
    • Kim JS, Taylor MB, Miller J, Wentzlatff D (2003) Energy Characterization of a Tiled Architecture Processor with On-Chip Networks. In Proc. ISLPED, pp 424-427
    • (2003) Proc. ISLPED , pp. 424-427
    • Kim, J.S.1    Taylor, M.B.2    Miller, J.3    Wentzlatff, D.4
  • 229
    • 84892125239 scopus 로고    scopus 로고
    • XCELL Journal, Xilinx, Issue 52, Spring
    • Klein M (2005) The Virtex-4 Power Play. XCELL Journal, Xilinx, Issue 52, Spring
    • (2005) The Virtex-4 Power Play
    • Klein, M.1
  • 230
    • 85008031236 scopus 로고    scopus 로고
    • MinneSPEC: A new SPEC benchmark workload for simulation-based computer architecture research
    • Klein Osowski AJ, Lilja DJ (2006) MinneSPEC: A New SPEC Benchmark Workload for Simulation-Based Computer Architecture Research. Computer Architecture Letters, 1(1):7
    • (2006) Computer Architecture Letters , vol.1 , Issue.1 , pp. 7
    • Klein Osowski, A.J.1    Lilja, D.J.2
  • 231
    • 0029291150 scopus 로고
    • Half-swing clocking scheme for 75% power saving in clocking circuitry
    • Kojima H, Tanaka S, Sasaki K (1995) Half-Swing Clocking Scheme for 75% Power Saving in Clocking Circuitry. IEEE Journal of Solid-State Circuits, 30(4):432-435
    • (1995) IEEE Journal of Solid-State Circuits , vol.30 , Issue.4 , pp. 432-435
    • Kojima, H.1    Tanaka, S.2    Sasaki, K.3
  • 232
    • 20344374162 scopus 로고    scopus 로고
    • Niagara: A 32-way multithreaded sparc processor
    • Kongetira P, Aingaran K, Olukotun K (2005) Niagara: A 32-Way Multithreaded Sparc Processor. IEEE Micro, 25(2):21-29
    • (2005) IEEE Micro , vol.25 , Issue.2 , pp. 21-29
    • Kongetira, P.1    Aingaran, K.2    Olukotun, K.3
  • 234
    • 0032204608 scopus 로고    scopus 로고
    • New direction for computer architecture research
    • Kozyrakis CE, Patterson DA (1998) New Direction for Computer Architecture Research. IEEE Computer, 31(11):24-32
    • (1998) IEEE Computer , vol.31 , Issue.11 , pp. 24-32
    • Kozyrakis, C.E.1    Patterson, D.A.2
  • 240
    • 84892059420 scopus 로고    scopus 로고
    • Microprocessor Report, November 1
    • Krewell K (2004) Intel Cancels 4GHz P4. Microprocessor Report, November 1, 18 (11)
    • (2004) Intel Cancels 4GHz P4 , vol.18 , Issue.11
    • Krewell, K.1
  • 247
  • 248
    • 0015206785 scopus 로고
    • On a pin versus block relationship for partitions of logic graphs
    • Landman BS, Russo RL (1971) On a Pin Versus Block Relationship for Partitions of Logic Graphs. IEEE Transactions on Computers, C 20(12):1469-1479
    • (1971) IEEE Transactions on Computers, C , vol.20 , Issue.12 , pp. 1469-1479
    • Landman, B.S.1    Russo, R.L.2
  • 252
    • 84892050294 scopus 로고    scopus 로고
    • Lattice 2007 company web page
    • Lattice (2007) company web page http://www.latticesemi.com
  • 253
    • 84892137295 scopus 로고    scopus 로고
    • Lattice 2007 Mico32
    • Lattice (2007) Mico32. Available at http://www.latticesemi.com/products/ intellectualproperty/ipcores/mico32
  • 256
    • 21244432926 scopus 로고    scopus 로고
    • Lower SoC operating frequencies to cut power dissipation
    • February
    • Leibson S (2004) Lower SoC operating frequencies to cut power dissipation. In Portable Design, February
    • (2004) Portable Design
    • Leibson, S.1
  • 258
    • 22944472975 scopus 로고    scopus 로고
    • Configurable processors: A new era in chip design
    • July
    • Leibson S, Kim J (2005) Configurable Processors: A New Era in Chip Design. IEEE Computer, July, pp 51-59
    • (2005) IEEE Computer , pp. 51-59
    • Leibson, S.1    Kim, J.2
  • 263
    • 9544258270 scopus 로고    scopus 로고
    • Self-timed communication platform for implementing high-performance systems-on-chip
    • Liljeberg P, Plosila J, Isoaho J (2004) Self-Timed Communication Platform for Implementing High-Performance Systems-on-Chip. Integration. The VLSI Journal 38(1):43-67
    • (2004) Integration The VLSI Journal , vol.38 , Issue.1 , pp. 43-67
    • Liljeberg, P.1    Plosila, J.2    Isoaho, J.3
  • 265
    • 84892066428 scopus 로고    scopus 로고
    • The vortex: An asynchronous superscalar processor
    • Lines A (2007) The Vortex: An Asynchronous Superscalar Processor. In Proc. Async'07
    • (2007) Proc. Async'07
    • Lines, A.1
  • 278
    • 84891999474 scopus 로고    scopus 로고
    • 1, 000 cores on a chip: Rapport's kilocore chip makes quick work of video processing
    • July 11
    • McLaughlin L (2006) 1, 000 Cores on a Chip: Rapport's Kilocore Chip Makes Quick Work of Video Processing. In Technology Review, Massachusetts Institute of Technology, July 11. Available at http://www.technologyreview.com
    • (2006) Technology Review, Massachusetts Institute of Technology
    • McLaughlin, L.1
  • 280
    • 35248884474 scopus 로고    scopus 로고
    • ADRES: An architecture with tightly coupled VLIW processor and corse-grained reconfigurable matrix
    • Mei B, Vernalde S, Verkest D, DeMan H, Lauwereins R (2003) ADRES: An Architecture with Tightly Coupled VLIW Processor and Corse-Grained Reconfigurable Matrix. In Proc. FPL, pp 61-70
    • (2003) Proc. FPL , pp. 61-70
    • Mei, B.1    Vernalde, S.2    Verkest, D.3    DeMan, H.4    Lauwereins, R.5
  • 281
    • 33746893453 scopus 로고    scopus 로고
    • Mapping an H.264 decoder onto the adres reconfigurable architecture
    • Mei B, Veredas F, Masschelein B (2005) Mapping an H.264 Decoder onto the ADRES Reconfigurable Architecture. In Proc. FPL, pp 622-625
    • (2005) Proc. FPL , pp. 622-625
    • Mei, B.1    Veredas, F.2    Masschelein, B.3
  • 284
    • 38849175495 scopus 로고    scopus 로고
    • Mapping concurrent applications onto architectural platforms
    • Jantsch A, Tenhunen H eds
    • Mihal A, Keutzer K (2003) Mapping Concurrent Applications onto Architectural Platforms. In Jantsch A, Tenhunen H (eds) Networks on Chip, Kluwer Academic Publishers Chapter 3, pp 39-59
    • (2003) Networks on Chip, Kluwer Academic Publishers Chapter 3 , pp. 39-59
    • Mihal, A.1    Keutzer, K.2
  • 285
    • 0012536967 scopus 로고
    • Performance considerations for the scaling of sub-micron on-chip interconnections
    • Mii Y (1992) Performance Considerations for the Scaling of Sub-Micron On-Chip Interconnections. In Proc. SPIE 1805, pp 332-341
    • (1992) Proc. SPIE 1805 , pp. 332-341
    • Mii, Y.1
  • 286
    • 84891975117 scopus 로고    scopus 로고
    • miniMIPS CPU (2007) www.opencores.org/projects/minimips
    • (2007)
  • 289
    • 0031678276 scopus 로고    scopus 로고
    • A noise-immune GHz-clock distribution scheme using synchronous distributed oscillators
    • Mizuno H, Ishibashi K (1998) A Noise-Immune GHz-Clock Distribution Scheme Using Synchronous Distributed Oscillators. In ISSCC Digest of Technical Papers, pp 404-405
    • (1998) ISSCC Digest of Technical Papers , pp. 404-405
    • Mizuno, H.1    Ishibashi, K.2
  • 292
    • 84891999251 scopus 로고    scopus 로고
    • MorphICs Technology inc. (2007) http://www.morphics.com
    • (2007)
  • 293
    • 84892069250 scopus 로고    scopus 로고
    • Morpho Technologies (2007) http://www.morphotech.com
    • (2007)
  • 295
    • 0016141886 scopus 로고
    • Microprogrammed subprocessors for compilation and execution of high-level languages
    • Palo Alto, California
    • Moulton P (1974) Microprogrammed Subprocessors for Compilation and Execution of High-Level Languages. In 7th Annual Workshop on Microprogramming. Palo Alto, California, pp 74-79
    • (1974) 7th Annual Workshop on Microprogramming , pp. 74-79
    • Moulton, P.1
  • 300
    • 0036111661 scopus 로고    scopus 로고
    • The implementation of the next-generation 64b itanium microprocessor
    • 472
    • Naffziger SD, Hammond G (2002) The Implementation of the Next-Generation 64b Itanium Microprocessor. In ISSCC Digest of Technical Papers, pp 344-345, 472
    • (2002) ISSCC Digest of Technical Papers , pp. 344-345
    • Naffziger, S.D.1    Hammond, G.2
  • 304
    • 84891987852 scopus 로고    scopus 로고
    • NEC DRP Product Family (2007) http://www.necel.com/drp/en/index.html
    • (2007)
  • 306
    • 0027262012 scopus 로고
    • The J-machine multicomputer: An architectural evaluation
    • Noakes M (1993) The J-Machine Multicomputer: An Architectural Evaluation. In Proc. ISCA, pp 224-235
    • (1993) Proc. ISCA , pp. 224-235
    • Noakes, M.1
  • 309
    • 0003530609 scopus 로고    scopus 로고
    • Physical modeling and system level performance characterization of a protocol processor architecture
    • Nurmi T, Virtanen S, Isoaho J, Tenhunen H (2000) Physical Modeling and System Level Performance Characterization of a Protocol Processor Architecture. In Proc. Norchip Conference, pages 294-301
    • (2000) Proc. Norchip Conference , pp. 294-301
    • Nurmi, T.1    Virtanen, S.2    Isoaho, J.3    Tenhunen, H.4
  • 314
    • 84892023069 scopus 로고    scopus 로고
    • OpenRISC CPU (2007) http://www.bsemi.com
    • (2007)
  • 317
    • 84891974095 scopus 로고    scopus 로고
    • Pact XPP Technologies (2007) http//:www.pactxpp. com
    • (2007)
  • 322
  • 331
    • 11544372898 scopus 로고
    • The case for the reduced instruction set computer
    • 8 October
    • Patterson DA, Ditzel DR (1980) The Case for the Reduced Instruction Set Computer. Computer Architecture News, 8 October: 25-33
    • (1980) Computer Architecture News , pp. 25-33
    • Patterson, D.A.1    Ditzel, D.R.2
  • 332
    • 84976662501 scopus 로고
    • The open channel: Response to 'computers, complexity, and controversy
    • Patterson DA, Hennessy JL (1985) The Open Channel: Response to 'Computers, Complexity, and Controversy.' IEEE Computer November, 18(11):142-143
    • (1985) IEEE Computer November , vol.18 , Issue.11 , pp. 142-143
    • Patterson, D.A.1    Hennessy, J.L.2
  • 335
    • 84891988726 scopus 로고    scopus 로고
    • Plasma CPU Model (2007) http://www.opencores.org/projects/mips
    • (2007)
  • 337
    • 84891995936 scopus 로고    scopus 로고
    • PowerPC FPU (2007) http://www.xilinx.com/xlnx/xebiz/designResources/ip- product-details.jsp?key=DO-DI-FPU-SP
    • (2007) PowerPC FPU
  • 339
    • 84947577027 scopus 로고    scopus 로고
    • Silicon platforms for the next generation wireless systems-What role does reconfigurable hardware play?
    • Rabaey JM (2000) Silicon Platforms for the Next Generation Wireless systems-What Role Does Reconfigurable Hardware Play? Proc. Field Programmable Logic and Applications Conference (FPL), pp 277-285
    • (2000) Proc. Field Programmable Logic and Applications Conference (FPL) , pp. 277-285
    • Rabaey, J.M.1
  • 340
    • 0030714347 scopus 로고    scopus 로고
    • Reconfigurable computing: The solution to low power programmable DSP
    • Rabaey JM (1997) Reconfigurable Computing: The solution to Low Power Programmable DSP. In Proc. ICASSP, pp 275-278
    • (1997) Proc. ICASSP , pp. 275-278
    • Rabaey, J.M.1
  • 344
    • 84892030832 scopus 로고    scopus 로고
    • Raza Microelectronics, Inc. (2007) http://www.razamicroelectronics.com/ products/xlr.htm
    • (2007)
  • 345
    • 0028768023 scopus 로고
    • A high performance microarchitecture with hardware-programmable functional units
    • Razdan R, Smith M (1994) A High Performance Microarchitecture with Hardware-Programmable Functional Units. Proc. Microarchitecture (MICRO-27), pp 172-180
    • (1994) Proc. Microarchitecture (MICRO-27) , pp. 172-180
    • Razdan, R.1    Smith, M.2
  • 347
    • 84892057343 scopus 로고
    • January 19 URL
    • Reuters Press Release (1989) Unisys Introduces Micro A Computer. January 19 URL: http://query.nytimes.com/gst/fullpage.html?res= 950DE2DE113AF93AA25752C0A96F948260
    • (1989) Unisys Introduces Micro a Computer
  • 349
    • 21244466925 scopus 로고    scopus 로고
    • Reprogrammable algorithm accelerator IP block
    • Ristimäki T, Nurmi J (2003) Reprogrammable Algorithm Accelerator IP Block. In Proc. IFIP VLSI-SOC, pp 228-232
    • (2003) Proc. IFIP VLSI-SOC , pp. 228-232
    • Ristimäki, T.1    Nurmi, J.2
  • 356
    • 33646928144 scopus 로고
    • Directions in future high-end processors
    • Sai-Halasz G (1992) Directions in Future High-End Processors. In Proc. ICCD, pp 230-233
    • (1992) Proc. ICCD , pp. 230-233
    • Sai-Halasz, G.1
  • 357
    • 0029207481 scopus 로고
    • Performance trends in high-end processors
    • Sai-Halasz G (1995) Performance Trends in High-End Processors. Proceedings of the IEEE, 83(1):20
    • (1995) Proceedings of the IEEE , vol.83 , Issue.1 , pp. 20
    • Sai-Halasz, G.1
  • 358
    • 0034459218 scopus 로고    scopus 로고
    • Modulo scheduling for a fully-distributed clustered VLIW architecture
    • Sanchez J, Gonzales A (2000) Modulo Scheduling for a Fully-Distributed Clustered VLIW Architecture. In Proc. MICRO-33, pp 124-133
    • (2000) Proc. MICRO-33 , pp. 124-133
    • Sanchez, J.1    Gonzales, A.2
  • 364
    • 84893758474 scopus 로고    scopus 로고
    • Object-oriented modelling of parallel hardware systems
    • Schumacher G, Nebel W (1998) Object-Oriented Modelling of Parallel Hardware Systems. In Proc. DATE, pp 234-241
    • (1998) Proc. DATE , pp. 234-241
    • Schumacher, G.1    Nebel, W.2
  • 367
    • 84892124660 scopus 로고    scopus 로고
    • SDR forum (2007) web page at http://www.sdrforum.org
    • (2007)
  • 368
    • 0035704608 scopus 로고    scopus 로고
    • Synthesis of hardware models in C with pointers and complex data structures
    • Semeria L, Sato K, De Micheli G (2001) Synthesis of Hardware Models in C with Pointers and Complex Data Structures. IEEE Transactions on VLSI Systems, 9(6):743-756
    • (2001) IEEE Transactions on VLSI Systems , vol.9 , Issue.6 , pp. 743-756
    • Semeria, L.1    Sato, K.2    De Micheli, G.3
  • 369
    • 8844226032 scopus 로고    scopus 로고
    • NPClick: A productive software development approach for network processors
    • Shah N, Plishker W, Ravindran K, Keutzer K (2004) NPClick: A Productive Software Development Approach for Network Processors. IEEE Micro, 24(5):45-54
    • (2004) IEEE Micro , vol.24 , Issue.5 , pp. 45-54
    • Shah, N.1    Plishker, W.2    Ravindran, K.3    Keutzer, K.4
  • 370
    • 0032306939 scopus 로고    scopus 로고
    • Native mode functional test generation for microprocessors with applications to self-test and design validation
    • Shen J, Abraham JA (1998) Native Mode Functional Test Generation for Microprocessors with Applications to Self-Test and Design Validation. In Proc. IEEE International Test Conference, pp 990-999
    • (1998) Proc. IEEE International Test Conference , pp. 990-999
    • Shen, J.1    Abraham, J.A.2
  • 377
    • 0034187952 scopus 로고    scopus 로고
    • MorphoSys: An integrated reconfigurable system for data-parallel and computation-intensive applications
    • Singh H, Lee MH, Lu G, Kurdahi FJ, Bagherzadeh N, Chaves Filho EM (2000) MorphoSys: An Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications. IEEE Transactions on Computers, 49(5):465-481
    • (2000) IEEE Transactions on Computers , vol.49 , Issue.5 , pp. 465-481
    • Singh, H.1    Lee, M.H.2    Lu, G.3    Kurdahi, F.J.4    Bagherzadeh, N.5    Filho, E.M.C.6
  • 379
    • 21244483518 scopus 로고    scopus 로고
    • Lessons learned from designing the montium, a coarse grained reconfigurable processing tile
    • Smit G, Heysters P, Rosien M, Molenkamp B (2004) Lessons Learned from Designing the Montium, a Coarse Grained Reconfigurable Processing Tile. In Proc. International Symposium on SoC, pp 29-32
    • (2004) Proc. International Symposium on SoC , pp. 29-32
    • Smit, G.1    Heysters, P.2    Rosien, M.3    Molenkamp, B.4
  • 381
    • 0036385678 scopus 로고    scopus 로고
    • Performance-constrained pipelining of software loops onto reconfigurable hardware
    • Snider G (2002) Performance-Constrained Pipelining of Software Loops onto Reconfigurable Hardware. In Proc. FPGA, pp 177-186
    • (2002) Proc. FPGA , pp. 177-186
    • Snider, G.1
  • 387
    • 46249127094 scopus 로고    scopus 로고
    • Architecture exploration and tools for pipelined coarse grained reconfigurable arrays
    • August
    • Stock F, Koch A (2006) Architecture Exploration and Tools for Pipelined Coarse Grained Reconfigurable Arrays. In Proc. FPL, August
    • (2006) Proc. FPL
    • Stock, F.1    Koch, A.2
  • 388
    • 84891967036 scopus 로고    scopus 로고
    • Stretch, Inc. (2007) www.stretchinc.com
    • (2007)
  • 389
    • 0038345686 scopus 로고    scopus 로고
    • A performance analysis of PIM, stream processing, and tiled processing on memory-intensive signal processing kernels
    • Suh J, Kim EG, Crago SP, Srinivasan L, French MC (2003) A Performance Analysis of PIM, Stream Processing, and Tiled Processing on Memory-Intensive Signal Processing Kernels. In Proc. ISCA, pp 410-419
    • (2003) Proc. ISCA , pp. 410-419
    • Suh, J.1    Kim, E.G.2    Crago, S.P.3    Srinivasan, L.4    French, M.C.5
  • 390
    • 84892060245 scopus 로고    scopus 로고
    • SUIF (2007) Compiler System. Available at http://suif.standford.edu
    • (2007) Compiler System
  • 391
    • 2442597187 scopus 로고    scopus 로고
    • Using C based logic synthesis to bridge the productivity gap
    • Sullivan C, Wilson A, Chappell S. (2004) Using C Based Logic Synthesis to Bridge the Productivity Gap. In Proc. ASP-DAC, pp 349-354
    • (2004) Proc. ASP-DAC , pp. 349-354
    • Sullivan, C.1    Wilson, A.2    Chappell, S.3
  • 396
    • 0000712307 scopus 로고    scopus 로고
    • System-level performance modeling with BACPAC-Berkeley advanced chip performance calculator
    • Sylvester D, Keutzer K (1999) System-Level Performance Modeling with BACPAC-Berkeley Advanced Chip Performance Calculator. In Proc. SLIP, pp 109-114
    • (1999) Proc. SLIP , pp. 109-114
    • Sylvester, D.1    Keutzer, K.2
  • 397
    • 84892110128 scopus 로고    scopus 로고
    • SystemC web pages at http://www.systemc.org
  • 398
    • 84892069449 scopus 로고    scopus 로고
    • Verified 2007-01-25
    • SystemC EDA Products (2007) http://www.systemc.org/docman2/ViewCategory. php?group-id=4&category-id=10. Verified 2007-01-25
    • (2007)
  • 399
    • 84891988341 scopus 로고    scopus 로고
    • SystemVerilog Homepage (2007) http://www.systemverilog.org/. Verified2007-01-24
    • (2007)
  • 400
    • 33749066310 scopus 로고    scopus 로고
    • Architecture for an advanced java Co-processor
    • Iasi, Romania
    • Säntti T, Plosila J (2005) Architecture for an Advanced Java Co-Processor, In Proc. ISSCS 2005, Iasi, Romania, Vol 2, pp 501-504
    • (2005) Proc. ISSCS 2005 , vol.2 , pp. 501-504
    • Säntti, T.1    Plosila, J.2
  • 409
    • 84955456130 scopus 로고    scopus 로고
    • Scalar operand networks: On-chip interconnect for ILP in partitioned architectures
    • Taylor MB, Lee W, Amarasinghe S, Agarwal A (2003) Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architectures. In Proc. HPCA, pp 341-353
    • (2003) Proc. HPCA , pp. 341-353
    • Taylor, M.B.1    Lee, W.2    Amarasinghe, S.3    Agarwal, A.4
  • 413
    • 84892105556 scopus 로고    scopus 로고
    • Matlab
    • Verified 2007-01-24
    • The Mathworks (2007) Matlab. Available at http://www.mathworks.com/ products/matlab/description1.html. Verified 2007-01-24
    • (2007) Available at
  • 414
    • 84891978205 scopus 로고    scopus 로고
    • Simulink
    • Verified 2007-01-24
    • The Mathworks (2007) Simulink. Available at http://www.mathworks.com/ products/simulink/description1.html. Verified 2007-01-24
    • (2007) Available at
  • 415
    • 84892015826 scopus 로고    scopus 로고
    • Verified 2007-01-27
    • The Open SystemC Initiative (2007) OSCI SystemC TLM 2.0 Draft 1. Available at http://www.systemc.org/web/sitedocs/TLM-2-0.html. Verified 2007-01-27
    • (2007) OSCI SystemC TLM 2.0 Draft 1
  • 416
    • 84892118708 scopus 로고    scopus 로고
    • Verified 2007-01-24
    • The Ptolemy project (2007) http://ptolemy.eecs.berkeley.edu/. Verified 2007-01-24
    • (2007)
  • 417
    • 0003644553 scopus 로고    scopus 로고
    • Real-Time for Java Expert Group, Addison-Wesley
    • The Real-Time for Java Expert Group (2000) The Real-Time Specification for Java. Addison-Wesley
    • (2000) The Real-Time Specification for Java.
  • 422
    • 0009950888 scopus 로고    scopus 로고
    • The linux edge
    • DiBona C, Ockman S, Stone M eds, O'Reilly and Associates, Inc., Sebastopol, CA
    • Torvalds L (1999) The Linux Edge. In: DiBona C, Ockman S, Stone M (eds) Open Sources: Voices from the Open Source Revolution. O'Reilly and Associates, Inc., Sebastopol, CA
    • (1999) Open Sources: Voices from the Open Source Revolution
    • Torvalds, L.1
  • 424
    • 21244471498 scopus 로고    scopus 로고
    • A UML profile for the TACO protocol processing platform
    • Truscan D (2004) A UML Profile for the TACO Protocol Processing Platform. In Proc. Norchip Conference, pp 225-228
    • (2004) Proc. Norchip Conference , pp. 225-228
    • Truscan, D.1
  • 426
    • 84891978194 scopus 로고    scopus 로고
    • Microprocessor Report, February 9. Silicon Hive web site
    • +. Microprocessor Report, February 9. Available at Silicon Hive web site: www.silicon-hive.com
    • (2004) +
    • Turley, J.1
  • 427
    • 34447571243 scopus 로고    scopus 로고
    • Lawrence Berkeley National Laboratory, May
    • UPC Consortium (2005) UPC language specifications v1.2. Technical Report LBNL-59208, Lawrence Berkeley National Laboratory, May. Available at http://upc.lbl.gov/docs/user/upc-spec-1.2.pdf
    • (2005) UPC Language Specifications V1.2. Technical Report LBNL-59208
  • 428
    • 84892088298 scopus 로고    scopus 로고
    • Verified 2007-01-25
    • University of Aachen (2007) Lisa Retargetable SW Development Tool Suite. Available at http://servus.ert.rwth-aachen. de/lisa/. Verified 2007-01-25
    • (2007) Lisa Retargetable SW Development Tool Suite
  • 429
    • 84892094932 scopus 로고    scopus 로고
    • Verified 2007-01-24 Irvine
    • University of California, Irvine (2007) SpecC System. Available at http://www.ics.uci.edu/~specc/. Verified 2007-01-24
    • (2007) SpecC System
  • 434
  • 435
    • 84892019837 scopus 로고    scopus 로고
    • Verilog Homepage 2007, Verified 2007-01-24
    • Verilog Homepage (2007) http://www.verilog.com/. Verified 2007-01-24
  • 436
    • 0003526418 scopus 로고    scopus 로고
    • On communications protocols and their characteristics relevant to designing protocol processing hardware
    • Turku Centre for Computer Science, Turku, Finland
    • Virtanen S (1999) On Communications Protocols and Their Characteristics Relevant to Designing Protocol Processing Hardware. Technical Report 305, Turku Centre for Computer Science, Turku, Finland
    • (1999) Technical Report 305
    • Virtanen, S.1
  • 438
    • 21244493771 scopus 로고    scopus 로고
    • A processor design tool for the TACO framework
    • Virtanen S, Lundström T, Lilius J (2002) A Processor Design Tool for the TACO Framework. In Proc. Norchip, pp 177-182
    • (2002) Proc. Norchip , pp. 177-182
    • Virtanen, S.1    Lundström, T.2    Lilius, J.3
  • 448
    • 33847167415 scopus 로고    scopus 로고
    • Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology
    • Wen CHP, Wang LC, Cheng KT, Liu WT, Chen JJ (2005) Simulation-Based Target Test Generation Techniques for Improving The Robustness of a Software-Based-Self-Test Methodology. In Proc. IEEE International Test Conference, pp 936-945
    • (2005) Proc. IEEE International Test Conference , pp. 936-945
    • Wen, C.H.P.1    Wang, L.C.2    Cheng, K.T.3    Liu, W.T.4    Chen, J.J.5
  • 450
    • 0343462141 scopus 로고    scopus 로고
    • Automated empirical optimizations of software and the ATLAS project
    • Whaley RC, Petitet A, Dongarra JJ (2000) Automated Empirical Optimizations of Software and the ATLAS Project. Parallel Computing 27(1-2):3-35
    • (2000) Parallel Computing , vol.27 , Issue.1-2 , pp. 3-35
    • Whaley, R.C.1    Petitet, A.2    Dongarra, J.J.3
  • 452
    • 84891974971 scopus 로고    scopus 로고
    • Wikipedia, URL, Simultaneous Multithreading, consulted 5 August. URL http://en. wikipedia.org/wiki/Simultaneous-multithreading
    • Wikipedia (2006) Thread (Computer Science), consulted 5 August 2006. URL http://en. wikipedia.org/wiki/Thread-(computer-science), Simultaneous Multithreading, consulted 5 August. URL http://en. wikipedia.org/wiki/ Simultaneous-multithreading
    • (2006) Thread (Computer Science), Consulted 5 August 2006
  • 454
    • 84976692695 scopus 로고
    • SUIF: An infrastructure for research on paralelizing and optimizing compiler
    • Dec. 1994
    • Wilson R et al. (1994) SUIF: An Infrastructure for Research on Paralelizing and Optimizing Compiler. SIGPLAN Notices, page 31, Dec. 1994
    • (1994) SIGPLAN Notices , pp. 31
    • Wilson, R.1
  • 456
    • 25144441770 scopus 로고    scopus 로고
    • Prentice Hall, Upper Saddle River, NJ
    • Wolf W (2004) FPGA-Based System Design. Prentice Hall, Upper Saddle River, NJ
    • (2004) FPGA-Based System Design
    • Wolf, W.1
  • 462
    • 84892077456 scopus 로고    scopus 로고
    • Xilinx (2007) company web page. Available at http://www.xilinx.com
    • (2007) Company Web Page
  • 463
    • 84892128264 scopus 로고    scopus 로고
    • Xilinx (2007) MicroBlaze. Available at http://www.xilinx.com/microblaze
    • (2007) MicroBlaze
  • 464
    • 84892120201 scopus 로고    scopus 로고
    • visited on January 2007
    • Xilinx (2007) Microblaze architecture. Available at (visited on January 2007) http://www.xilinx.com/ipcenter/processor-central/microblaze/architecture. htm
    • (2007) Microblaze Architecture
  • 465
    • 84891991962 scopus 로고    scopus 로고
    • visited on January 2007
    • Xilinx (2007) FPU Available at http://www.xilinx.com/xlnx/xebiz/ designResources/ip-product-details.jsp?key=DO-DI-FPU-SP (visited on January 2007)
    • (2007) FPU
  • 467
    • 77957955928 scopus 로고    scopus 로고
    • An investigation into the security of self-timed circuits
    • Yu ZC, Furber SB, Plana LA (2003) An Investigation into the Security of Self-Timed Circuits, Proc. Async'03, pp 206-215
    • (2003) Proc. Async'03 , pp. 206-215
    • Yu, Z.C.1    Furber, S.B.2    Plana, L.A.3
  • 469
    • 84891985611 scopus 로고    scopus 로고
    • Cavium Networks homepage (2007) http://www.cavium.com
    • (2007)


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.