-
2
-
-
0033717865
-
Clock rate versus IPC: The end of the road for conventional microarchitectures
-
Agarwal V, Hrishikesh MS, Keckler SW, Burger D (2000) Clock Rate versus IPC: The End of the Road for Conventional Microarchitectures. In Proc. ISCA, pp 248-259
-
(2000)
Proc. ISCA
, pp. 248-259
-
-
Agarwal, V.1
Hrishikesh, M.S.2
Keckler, S.W.3
Burger, D.4
-
5
-
-
33746885046
-
A brunch from the coffee table-Case study in noc platform design
-
Nurmi J, Tenhunen H, Isoaho J, Jantsch A eds, Kluwer Academic Publishers, Chapter 16
-
Ahonen T, Virtanen S, Kylliäinen J, Truscan D, Kasanko T, Sigüenza-Tortosa DA, Ristimäki T, Paakkulainen J, Nurmi T, Saastamoinen I, Isännäinen H, Lilius J, Nurmi J, Isoaho J (2004) A Brunch from the Coffee Table-Case Study In Noc Platform Design. In Nurmi J, Tenhunen H, Isoaho J, Jantsch A (eds) Interconnect-Centric Design for Advanced SoC and NoC, Kluwer Academic Publishers, Chapter 16, pp 425-453
-
(2004)
Interconnect-Centric Design for Advanced SoC and NoC
, pp. 425-453
-
-
Ahonen, T.1
Virtanen, S.2
Kylliäinen, J.3
Truscan, D.4
Kasanko, T.5
Sigüenza-Tortosa, D.A.6
Ristimäki, T.7
Paakkulainen, J.8
Nurmi, T.9
Saastamoinen, I.10
Isännäinen, H.11
Lilius, J.12
Nurmi, J.13
Isoaho, J.14
-
8
-
-
84976725287
-
Software pipelining
-
Allan V, Jones R, Lee R, Allan S (1995) Software Pipelining. ACM Computing Surveys, 27:3
-
(1995)
ACM Computing Surveys
, vol.27
, pp. 3
-
-
Allan, V.1
Jones, R.2
Lee, R.3
Allan, S.4
-
9
-
-
33646421297
-
-
Sun Microsystems, March
-
Allen E, Chase D, Hallett J, Luchangco V, Maessen JW, Ryu S, Sttele GL Jr, Tobin-Hochstadt S (2007) The Fortress Language Specification v. 1.0 β. Technical Memo, Sun Microsystems, March. Available at http://research.sun. com/projects/plrg/fortress.pdf
-
(2007)
The Fortress Language Specification V. 1.0 β. Technical Memo
-
-
Allen, E.1
Chase, D.2
Hallett, J.3
Luchangco, V.4
Maessen, J.W.5
Ryu, S.6
Sttele Jr., G.L.7
Tobin-Hochstadt, S.8
-
11
-
-
84892077456
-
-
Altera (2007) company web page http://www.altera.com
-
(2007)
Company Web Page
-
-
-
14
-
-
84892134092
-
-
Altera (2007) Nios II. http://www.altera.com/nios2
-
(2007)
Nios II
-
-
-
15
-
-
84892114694
-
-
Altera (2007) FPU DFPAU (visited on January 2007). Available at http://www.altera.com/products/ip/dsp/arithmetic/m-dcd-dfpau.html
-
(2007)
FPU DFPAU (visited on January 2007)
-
-
-
16
-
-
0025536635
-
LAPACK: A portable linear algebra library for high-performance computers
-
Anderson E, Bai Z, Bischof C, Demmel J, Dongarra, Du Croz J, Greenbaum A, Hammarling S, McKenney A, Sorensen D (1990) LAPACK: A Portable Linear Algebra Library for High-Performance Computers. In Proc. Supe-computing, pp 2-11
-
(1990)
Proc. Supe-computing
, pp. 2-11
-
-
Anderson, E.1
Bai, Z.2
Bischof, C.3
Demmel, J.4
Dongarra5
Du Croz, J.6
Greenbaum, A.7
Hammarling, S.8
McKenney, A.9
Sorensen, D.10
-
17
-
-
33645977177
-
Xbox 360 system architecture
-
Andrews J, Baker N (2006) Xbox 360 System Architecture. IEEE Micro, 26(2):25-37
-
(2006)
IEEE Micro
, vol.26
, Issue.2
, pp. 25-37
-
-
Andrews, J.1
Baker, N.2
-
18
-
-
0023538231
-
The warp computer: Architecture, implementation and performance
-
Annaratone M, Arnould E, Gross T, Kung HT, Lam M, Menzilicioglu O, Webb JA (1987) The Warp Computer: Architecture, Implementation and Performance. IEEE Transactions on Computers, 36(12):1523-1538
-
(1987)
IEEE Transactions on Computers
, vol.36
, Issue.12
, pp. 1523-1538
-
-
Annaratone, M.1
Arnould, E.2
Gross, T.3
Kung, H.T.4
Lam, M.5
Menzilicioglu, O.6
Webb, J.A.7
-
21
-
-
2342484832
-
-
Advanced RISC Machines Ltd., Revision 3
-
ARM (2000) ARM9TDMI, Technical Reference Manual. Advanced RISC Machines Ltd., Revision 3
-
(2000)
ARM9TDMI, Technical Reference Manual
-
-
-
22
-
-
0003495311
-
-
Advanced RISC Machines Ltd., Version 2.0
-
ARM (1995) An Introduction to Thumb. Advanced RISC Machines Ltd., Version 2.0
-
(1995)
An Introduction to Thumb
-
-
-
24
-
-
84891992324
-
-
visited on January 2007
-
ARM (2007) VFP9-S coprocessor. Available at http://www.arm.com/products/ CPUs/VFP9-S.html (visited on January 2007)
-
(2007)
VFP9-S Coprocessor
-
-
-
28
-
-
84892097546
-
-
ASIP Meister (2007) http://www.eda-meister.org
-
(2007)
-
-
-
29
-
-
0027561268
-
Processor configuration through instruction set metamorphosis
-
Athanas R, Silvermann H (1993) Processor Configuration Through Instruction Set Metamorphosis. IEEE Computer, 26(3):11-18
-
(1993)
IEEE Computer
, vol.26
, Issue.3
, pp. 11-18
-
-
Athanas, R.1
Silvermann, H.2
-
30
-
-
0026242244
-
Performance and the i860 microprocessor
-
October:, 72-78
-
Atkins M (1991) Performance and the i860 Microprocessor. IEEE Micro, October: 11(5):24-27, 72-78
-
(1991)
IEEE Micro
, vol.11
, Issue.5
, pp. 24-27
-
-
Atkins, M.1
-
31
-
-
0031345905
-
The RAW benchmark suite: Computation structures for general purpose computing
-
Babb J, Frank M, Lee V, Waingold E, Barua R, Taylor M, Kim J, Devabhak-tuni S, Agarwal A (1997) The RAW Benchmark Suite: Computation Structures for General Purpose Computing. In Proc. FCCM, pp 134-143
-
(1997)
Proc. FCCM
, pp. 134-143
-
-
Babb, J.1
Frank, M.2
Lee, V.3
Waingold, E.4
Barua, R.5
Taylor, M.6
Kim, J.7
Devabhak-tuni, S.8
Agarwal, A.9
-
32
-
-
0037249763
-
A total cost approach to evaluating different reconfigurable architectures for baseband processing in wireless receivers
-
Baines R, Pulley D (2003) A Total Cost Approach to Evaluating Different Reconfigurable Architectures for Baseband Processing in Wireless Receivers. IEEE Communication Magazine, 41(1):105-113
-
(2003)
IEEE Communication Magazine
, vol.41
, Issue.1
, pp. 105-113
-
-
Baines, R.1
Pulley, D.2
-
33
-
-
84892088831
-
-
Interconnections and Packaging for VLSI. Addison-Wesley
-
Bakoglu HB (1990) Circuits, Interconnections and Packaging for VLSI. Addison-Wesley
-
(1990)
Circuits
-
-
Bakoglu, H.B.1
-
35
-
-
84892093975
-
The nios II family of configurable soft-core processors
-
Stanford, CA, 2005
-
Ball J (2005) The Nios II Family of Configurable Soft-core Processors. In Proc. Hot Chips 17, Stanford, CA, 2005
-
(2005)
Proc. Hot Chips 17
-
-
Ball, J.1
-
40
-
-
0032691811
-
Instruction randomization self test for processor cores
-
Batcher K, Papachristou C (1999) Instruction Randomization Self Test for Processor Cores. In Proc. VLSI Test Symposium, pp 34-40
-
(1999)
Proc. VLSI Test Symposium
, pp. 34-40
-
-
Batcher, K.1
Papachristou, C.2
-
42
-
-
80052233566
-
-
Technical Report, Berkeley Design Technologies, Inc
-
BDTI (2000) Choosing a DSP Processor. Technical Report, Berkeley Design Technologies, Inc.
-
(2000)
Choosing a DSP Processor
-
-
-
44
-
-
0003592777
-
-
2004 Edition. Berkeley Design Technology, Inc
-
BDTI (2004) Buyer's Guide to DSP Processors, 2004 Edition. Berkeley Design Technology, Inc.
-
(2004)
Buyer's Guide to DSP Processors
-
-
-
45
-
-
84893771784
-
An industrial/academic configurable system-on-chip project (CsoC): Coarse-Grain XPP-/Leon-Based Architecture Integration
-
Becker J, Thomas A, Vorbach M, Baumgarte V (2003) An Industrial/Academic Configurable System-on-Chip Project (CsoC): Coarse-Grain XPP-/Leon-Based Architecture Integration. In Proc. DATE, pp 11-12
-
(2003)
Proc. DATE
, pp. 11-12
-
-
Becker, J.1
Thomas, A.2
Vorbach, M.3
Baumgarte, V.4
-
47
-
-
34047148457
-
An effective technique for minimizing the cost of processor software-based diagnosis in SoCs
-
Bernardi P, Sanchez E, Schillaci M, Squillero G, Sonza Reorda M (2006) An Effective Technique for Minimizing the Cost of Processor Software-Based Diagnosis in SoCs. In Proc. DATE, pp 412-417
-
(2006)
Proc. DATE
, pp. 412-417
-
-
Bernardi, P.1
Sanchez, E.2
Schillaci, M.3
Squillero, G.4
Reorda, M.S.5
-
48
-
-
0004531867
-
-
Technical Report 842, Institut National de Recherche en Informatique et en Automatique, France, May 1988. Verified 2007-01-24
-
Berry G, Gonthier G (1998) The Esterel Synchronous Programming Language: Design, Semantics, Implementation. Technical Report 842, Institut National de Recherche en Informatique et en Automatique, France, May 1988. Available at http://www.inria.fr/rrrt/rr-0842.html. Verified 2007-01-24
-
(1998)
The Esterel Synchronous Programming Language: Design, Semantics, Implementation
-
-
Berry, G.1
Gonthier, G.2
-
49
-
-
0141930781
-
Challenges and opportunities of FPGA platforms
-
Bolsen I (2002) Challenges and Opportunities of FPGA Platforms. In Proc. FPL, pp 391-392
-
(2002)
Proc. FPL
, pp. 391-392
-
-
Bolsen, I.1
-
51
-
-
0037344580
-
A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and Customizable I/O
-
Borgatti M, Lertora F, Foret B, Cali L (2003) A Reconfigurable System Featuring Dynamically Extensible Embedded Microprocessor, FPGA, and Customizable I/O. IEEE Journal of Solid-State Circuits (JSSC), 38(3):521-529
-
(2003)
IEEE Journal of Solid-State Circuits (JSSC)
, vol.38
, Issue.3
, pp. 521-529
-
-
Borgatti, M.1
Lertora, F.2
Foret, B.3
Cali, L.4
-
52
-
-
0028705546
-
Hardware-software-codesign of application specific microcontrollers with the ASM environment
-
Both A et al. (1994) Hardware-Software-Codesign of Application Specific Microcontrollers with the ASM Environment. In Proc. Conference on European Design Automation, pp 72-76
-
(1994)
Proc. Conference on European Design Automation
, pp. 72-76
-
-
Both, A.1
-
54
-
-
0030171884
-
Architecture of FPGAs and CPLDs: A tutorial
-
Brown S and Rose J (1996) Architecture of FPGAs and CPLDs: A Tutorial. IEEE Design Test of Computers, 13(2):42-57
-
(1996)
IEEE Design Test of Computers
, vol.13
, Issue.2
, pp. 42-57
-
-
Brown, S.1
Rose, J.2
-
56
-
-
33847212134
-
A FPGA implementation of an open-source floating-point computation system
-
Brunelli C, Garzia F, Campi F, Mucci C, Nurmi J (2005) A FPGA Implementation of an Open-Source Floating-Point Computation System. In Proc. International Symposium on SoC, pp 29-32
-
(2005)
Proc. International Symposium on SoC
, pp. 29-32
-
-
Brunelli, C.1
Garzia, F.2
Campi, F.3
Mucci, C.4
Nurmi, J.5
-
57
-
-
34547339219
-
A VHDL model and implementation of a coarse-grain reconfigurable coprocessor for a RISC core
-
Brunelli C, Cinelli F, Rossi D, Nurmi J (2006) A VHDL Model and Implementation of a Coarse-Grain Reconfigurable Coprocessor for a RISC Core. In Proc. PRIME, pp 229-232
-
(2006)
Proc. PRIME
, pp. 229-232
-
-
Brunelli, C.1
Cinelli, F.2
Rossi, D.3
Nurmi, J.4
-
58
-
-
46149096489
-
A coarse-grain reconfigurable machine with floating-point arithmetic capabilities
-
Montpellier, France
-
Brunelli C, Garzia F, Nurmi J (2006) A Coarse-Grain Reconfigurable Machine with Floating-Point Arithmetic Capabilities. Invited paper in Proc. ReCoSoC'06, Montpellier, France, pp 1-7
-
(2006)
Invited Paper in Proc. ReCoSoC'06
, pp. 1-7
-
-
Brunelli, C.1
Garzia, F.2
Nurmi, J.3
-
59
-
-
0032628852
-
Fast compilation for pipelined reconfigur-able fabrics
-
Budiu M, Goldstein SC (1999) Fast Compilation for Pipelined Reconfigur-able Fabrics. In Proc. FPGA, pp 195-205
-
(1999)
Proc. FPGA
, pp. 195-205
-
-
Budiu, M.1
Goldstein, S.C.2
-
60
-
-
0031277174
-
Limited bandwidth to affect processor design
-
Burger D, Goodman JR, Kagi A (1997) Limited Bandwidth to Affect Processor Design. IEEE Micro, 17(6):55-62
-
(1997)
IEEE Micro
, vol.17
, Issue.6
, pp. 55-62
-
-
Burger, D.1
Goodman, J.R.2
Kagi, A.3
-
61
-
-
84892032973
-
-
Cadence (2007) The Virtual Component Co-Design (VCC) Available at http://www.cadence.com/company/success-stories/success.aspx?xml=philips-ss. verified 2007-01-24
-
(2007)
The Virtual Component Co-Design (VCC)
-
-
-
62
-
-
84892098256
-
-
consulted 18 January 2007, URL
-
CaffeineMark 3.0 (2007) Benchmark Information, consulted 18 January 2007, URL: http://www.benchmarkhq.ru/cm30/info.html
-
(2007)
Benchmark Information
-
-
-
64
-
-
0033720599
-
GTX: The MARCO GSRC technology extrapolation system
-
Caldwell AE, Cao Y, Kahng AB, Koushanfar F, Lu H, Markov IL, Oliver M, Stroobandt D, Sylvester D (2000) GTX: The MARCO GSRC Technology Extrapolation System. In Proc. DAC, pp 693-698
-
(2000)
Proc. DAC
, pp. 693-698
-
-
Caldwell, A.E.1
Cao, Y.2
Kahng, A.B.3
Koushanfar, F.4
Lu, H.5
Markov, I.L.6
Oliver, M.7
Stroobandt, D.8
Sylvester, D.9
-
66
-
-
0037630866
-
A VLIW processor with reconfigurable instruction set for embedded applications
-
Campi F, Toma M, Lodi A, Cappelli A, Canegallo R, Guerrieri R (2003) A VLIW Processor with Reconfigurable Instruction Set for Embedded Applications. In ISSCC Digest of Technical Papers, pp 250-251
-
(2003)
ISSCC Digest of Technical Papers
, pp. 250-251
-
-
Campi, F.1
Toma, M.2
Lodi, A.3
Cappelli, A.4
Canegallo, R.5
Guerrieri, R.6
-
67
-
-
49849090493
-
A dynamically adaptive DSP for heterogenous reconfigurable platforms
-
Campi F, Mucci C, Deledda A, Vanzolini L, Ciccarelli L, Pizzotti M, Vitkovski A, Lodi A, Rolandi P (2007) A Dynamically Adaptive DSP for Heterogenous Reconfigurable Platforms. In Proc. DATE.
-
(2007)
Proc. DATE
-
-
Campi, F.1
Mucci, C.2
Deledda, A.3
Vanzolini, L.4
Ciccarelli, L.5
Pizzotti, M.6
Vitkovski, A.7
Lodi, A.8
Rolandi, P.9
-
69
-
-
84892076534
-
A survey of high-level language computer architecture
-
Chu Y ed, Academic Press, New York, Chapter 3
-
Carlson CR (1975) A Survey of High-Level Language Computer Architecture. In Chu Y (ed) High-Level Language Computer Architecture. Academic Press, New York, Chapter 3
-
(1975)
High-Level Language Computer Architecture
-
-
Carlson, C.R.1
-
70
-
-
84892042405
-
-
Verified 2007-01-24
-
Celoxica (2007) Technical Library. Available at http://www.celoxica.com/ techlib/default.asp?Action=1&CatID=9&CatType=2&OrderBy=1. Verified 2007-01-24
-
(2007)
Technical Library
-
-
-
72
-
-
0026157612
-
IMPACT: An architectural framework for multiple-instruction-issue processors
-
Chang PP, Mahlke SA, Chen WY, Water NJ, Hwu WW (1991) IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors. In Proc. 18th Annual Int'l Symposium on Computer Architecture, pp 266-275
-
(1991)
Proc. 18th Annual Int'l Symposium on Computer Architecture
, pp. 266-275
-
-
Chang, P.P.1
Mahlke, S.A.2
Chen, W.Y.3
Water, N.J.4
Hwu, W.W.5
-
73
-
-
31744441529
-
X10: An object-oriented approach to non-uniform cluster computing
-
Charles P, Donawa C, Ebcioglu K, Grothoff C, Kielstra A, Saraswat V, Sarkar V, von Praun C (2005) X10: An Object-Oriented Approach to Non-Uniform Cluster Computing. In Proc. OOPSLA, pp 519-538
-
(2005)
Proc. OOPSLA
, pp. 519-538
-
-
Charles, P.1
Donawa, C.2
Ebcioglu, K.3
Grothoff, C.4
Kielstra, A.5
Saraswat, V.6
Sarkar, V.7
Von Praun, C.8
-
74
-
-
0036693108
-
Testing for interconnect crosstalk defects using on-chip embedded processor cores
-
Chen L, Bai X, Dey S (2002), Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. Journal of Electronic Testing: Theory and Applications, 18(4):529-538
-
(2002)
Journal of Electronic Testing: Theory and Applications
, vol.18
, Issue.4
, pp. 529-538
-
-
Chen, L.1
Bai, X.2
Dey, S.3
-
76
-
-
0036054357
-
Software-based diagnosis for processors
-
Chen L, Dey S (2002) Software-Based Diagnosis for Processors. In Proc. DAC, pp 259-262
-
(2002)
Proc. DAC
, pp. 259-262
-
-
Chen, L.1
Dey, S.2
-
77
-
-
0042134725
-
A scalable software-based self-testing methodology for programmable processors
-
Chen L, Ravi S, Raghunathan A, Dey S (2003) A Scalable Software-Based Self-Testing Methodology for Programmable Processors. In Proc. DAC, pp 548-553
-
(2003)
Proc. DAC
, pp. 548-553
-
-
Chen, L.1
Ravi, S.2
Raghunathan, A.3
Dey, S.4
-
78
-
-
3342983294
-
Edholm's law of bandwidth
-
July 2004
-
Cherry S (2004) Edholm's Law of Bandwidth. In IEEE Spectrum, July 2004, pp 58-60
-
(2004)
IEEE Spectrum
, pp. 58-60
-
-
Cherry, S.1
-
80
-
-
0032320170
-
Synthesis of application specific instructions for embedded DSP software
-
Choi H et al. (1998) Synthesis of Application Specific Instructions for Embedded DSP Software. In Proc. ICCAD, pp 665-671
-
(1998)
Proc. ICCAD
, pp. 665-671
-
-
Choi, H.1
-
81
-
-
84944416023
-
The reconfigurable streaming vector processor
-
Ciricescu S, Essick R, Lucas B, May P, Moat K, Norris J, Schuette M, Saidi A (2003) The Reconfigurable Streaming Vector Processor. In Proc. Intl Symposium on Microarchitectures (MICRO-36), pp 141-150
-
(2003)
Proc. Intl Symposium on Microarchitectures (MICRO-36)
, pp. 141-150
-
-
Ciricescu, S.1
Essick, R.2
Lucas, B.3
May, P.4
Moat, K.5
Norris, J.6
Schuette, M.7
Saidi, A.8
-
82
-
-
33646910281
-
Macromodular computer systems
-
Waxman BD, Stacey R eds, Academic Press, New York
-
Clark WA, Molnar CE (1974) Macromodular Computer Systems. In Waxman BD, Stacey R (eds) Computers in Biomedical Research, Vol IV, pp 45-85, Academic Press, New York
-
(1974)
Computers in Biomedical Research
, vol.4
, pp. 45-85
-
-
Clark, W.A.1
Molnar, C.E.2
-
83
-
-
27444443319
-
Automated custom instruction generation for domain-specific processor acceleration
-
Clark NT, Zhong H, Mahlke SA (2005) Automated Custom Instruction Generation for Domain-Specific Processor Acceleration. IEEE Transactions on Computers, 54(10):1258-1270
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.10
, pp. 1258-1270
-
-
Clark, N.T.1
Zhong, H.2
Mahlke, S.A.3
-
84
-
-
77957932361
-
FLEETzero: An asynchronous switching experiment
-
Coates B, Lexau J, Jones I, Fairbanks S, Sutherland IE (2001) FLEETzero: An Asynchronous Switching Experiment. In Proc. Async'01, pp 173-182
-
(2001)
Proc. Async'01
, pp. 173-182
-
-
Coates, B.1
Lexau, J.2
Jones, I.3
Fairbanks, S.4
Sutherland, I.E.5
-
87
-
-
84968470212
-
An algorithm for the machine calculation of complex fourier series
-
Cooley J, Tukey J (1965) An Algorithm for the Machine Calculation of Complex Fourier Series. Mathematics of Computation, Vol 19, pp 297-301
-
(1965)
Mathematics of Computation
, vol.19
, pp. 297-301
-
-
Cooley, J.1
Tukey, J.2
-
90
-
-
1642612182
-
Fully automatic test program generation for microprocessor cores
-
Corno F, Cumani G, Sonza Reorda M, Squillero G (2003) Fully Automatic Test Program Generation for Microprocessor Cores. In Proc. DATE, pp 1006-1011
-
(2003)
Proc. DATE
, pp. 1006-1011
-
-
Corno, F.1
Cumani, G.2
Reorda, M.S.3
Squillero, G.4
-
91
-
-
1942532276
-
Automatic test program generation: A case study
-
Corno F, Sanchez E, Reorda MS, Squillero G (2004) Automatic Test Program Generation: A Case Study. IEEE Design & Test of Computers, 21(2):102-109
-
(2004)
IEEE Design & Test of Computers
, vol.21
, Issue.2
, pp. 102-109
-
-
Corno, F.1
Sanchez, E.2
Reorda, M.S.3
Squillero, G.4
-
93
-
-
70449353245
-
-
Verified 2007-01-25
-
CoWare (2007) Processor Designer. Available at http://www.coware.com/ products/processordesigner.php. Verified 2007-01-25
-
(2007)
Processor Designer
-
-
-
94
-
-
0002232440
-
Compiling java just in time
-
May-June
-
Cramer T, Friedman R, Miller T, Seberger D, Wilson R, Wolczko M (1997) Compiling Java Just in Time. IEEE Micro, 17(2):36-43, May-June
-
(1997)
IEEE Micro
, vol.17
, Issue.2
, pp. 36-43
-
-
Cramer, T.1
Friedman, R.2
Miller, T.3
Seberger, D.4
Wilson, R.5
Wolczko, M.6
-
97
-
-
0034174025
-
The density advantage of reconfigurable computing
-
DeHon A (2000) The Density Advantage of Reconfigurable Computing. IEEE Computer, 33(4): pp 41-49
-
(2000)
IEEE Computer
, vol.33
, Issue.4
, pp. 41-49
-
-
DeHon, A.1
-
98
-
-
0032668914
-
Reconfigurable computing: What, why and implications for design automation
-
DeHon A, Wawrzynek J (1999) Reconfigurable Computing: What, Why and Implications for Design Automation. In Proc. DAC, 1999, pp 610-615
-
(1999)
Proc. DAC, 1999
, pp. 610-615
-
-
DeHon, A.1
Wawrzynek, J.2
-
99
-
-
18644376855
-
Design patterns for reconfigurable computing
-
DeHon A, Adams J, DeLorimier M, Kapre N, Matsuda Y, Naeimi H, Vanier M, Wrighton M (2004) Design Patterns for Reconfigurable Computing. In Proc. IEEE Symposium on FCCM, pp 13-23
-
(2004)
Proc. IEEE Symposium on FCCM
, pp. 13-23
-
-
DeHon, A.1
Adams, J.2
DeLorimier, M.3
Kapre, N.4
Matsuda, Y.5
Naeimi, H.6
Vanier, M.7
Wrighton, M.8
-
100
-
-
84893562168
-
Hardware synthesis from C/C++ Models
-
De Micheli G (1999) Hardware Synthesis from C/C++ Models. In Proc. DATE
-
(1999)
Proc. DATE
-
-
De Micheli, G.1
-
102
-
-
33746880687
-
Performance improvements using coarse-grain reconfigurable logic in embedded SOCs
-
Dimitroulakos G, Galanis M, Goutis C (2005) Performance Improvements Using Coarse-grain Reconfigurable Logic in Embedded SOCs. In Proc. FPL, pp 630-635
-
(2005)
Proc. FPL
, pp. 630-635
-
-
Dimitroulakos, G.1
Galanis, M.2
Goutis, C.3
-
105
-
-
0023594057
-
Design tradeoffs to support the C programming language in the CRISP Microprocessor
-
Ditzel DR, McLellan HR, Berenbaum AD (1987) Design Tradeoffs to Support the C Programming Language in the CRISP Microprocessor. In Proc. ASPLOS 1987 pp 158-163
-
(1987)
Proc. ASPLOS 1987
, pp. 158-163
-
-
Ditzel, D.R.1
McLellan, H.R.2
Berenbaum, A.D.3
-
107
-
-
0019565820
-
Wire length distribution for placements of computer logic
-
Donath W (1981) Wire Length Distribution for Placements of Computer Logic. IBM Journal of Research and Development 25(3):152-155
-
(1981)
IBM Journal of Research and Development
, vol.25
, Issue.3
, pp. 152-155
-
-
Donath, W.1
-
108
-
-
84891961655
-
Architecture of stack machines
-
Chu Y ed 1975, Academic Press, New York, Chapter 4
-
Doran RW (1975) Architecture of Stack Machines. In: Chu Y (ed) (1975) High-Level Language Computer Architecture. Academic Press, New York, Chapter 4
-
(1975)
High-Level Language Computer Architecture
-
-
Doran, R.W.1
-
109
-
-
84891998002
-
Sun offers servers based on 8-core processors
-
December 6
-
Dunn D (2005) Sun Offers Servers Based on 8-Core Processors. In Information Week, December 6. Available at http://www.informationweek.com
-
(2005)
Information Week
-
-
Dunn, D.1
-
113
-
-
84892112325
-
Optimal multilevel interconnect technologies for gigascale integration (GSI)
-
Eble JC, De VK, Davis JA, Meindl JD (1996) Optimal Multilevel Interconnect Technologies for Gigascale Integration (GSI). In Proc. VMIC Conference, pp 40-45
-
(1996)
Proc. VMIC Conference
, pp. 40-45
-
-
Eble, J.C.1
De, V.K.2
Davis, J.A.3
Meindl, J.D.4
-
116
-
-
0036173333
-
Balsa: An asynchronous hardware synthesis language
-
Edwards DA, Bardsley A (2002) Balsa: An Asynchronous Hardware Synthesis Language. The Computer Journal 45(1):12-18
-
(2002)
The Computer Journal
, vol.45
, Issue.1
, pp. 12-18
-
-
Edwards, D.A.1
Bardsley, A.2
-
117
-
-
84892070609
-
-
EEMBC Benchmark Suite http://www.eembc.org
-
-
-
-
119
-
-
84892023557
-
-
Elixent Ltd (2007) http://www.elixent.com
-
(2007)
-
-
-
121
-
-
0036292604
-
Tarantula: A vector extension to the alpha architecture
-
Espasa R, Ardanaz F, Emer J, Felix S, Gago J, Gramunt R, Hernandez I, Juan T, Lowney G, Mattina M, Seznec A (2002) Tarantula: A Vector Extension to the Alpha Architecture. In Proc. ISCA, pp 281-292
-
(2002)
Proc. ISCA
, pp. 281-292
-
-
Espasa, R.1
Ardanaz, F.2
Emer, J.3
Felix, S.4
Gago, J.5
Gramunt, R.6
Hernandez, I.7
Juan, T.8
Lowney, G.9
Mattina, M.10
Seznec, A.11
-
122
-
-
84959101495
-
Organization of computer systems: The fixed-plus variable structure computer
-
Am. Inst. Electrical Engineers, New York
-
Estrin G (1960) Organization of Computer Systems: The Fixed-Plus Variable Structure Computer. In Proc. Westem Joint Computer Conference, Am. Inst. Electrical Engineers, New York, pp 33-40
-
(1960)
Proc. Westem Joint Computer Conference
, pp. 33-40
-
-
Estrin, G.1
-
123
-
-
44949281310
-
Dimensions of personality: 16, 5, or 3?-Criteria for a taxonomic paradigm
-
Eysenck HJ (1991) Dimensions of personality: 16, 5, or 3?-Criteria for a Taxonomic Paradigm. Personality and Individual Differences 12:773-790
-
(1991)
Personality and Individual Differences
, vol.12
, pp. 773-790
-
-
Eysenck, H.J.1
-
125
-
-
33749003140
-
Effective compiler generation by architecture desacription
-
ACM 2006
-
Farfeleder S, A, Steiner E, Brandner F (2006) Effective Compiler Generation by Architecture desacription. In Proc. the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), ACM 2006, pp 145-152
-
(2006)
Proc. the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06)
, pp. 145-152
-
-
Farfeleder, S.A.1
Steiner, E.2
Brandner, F.3
-
126
-
-
29144462004
-
-
Morgan Kaufmann Publishers for Elsevier, San Francisco
-
Fisher JA, Faraboschi P, Young C (2005) Embedded Computing, A VLIW Approach to Architecture, Compilers, and Tools. Morgan Kaufmann Publishers for Elsevier, San Francisco
-
(2005)
Embedded Computing, a VLIW Approach to Architecture, Compilers, and Tools
-
-
Fisher, J.A.1
Faraboschi, P.2
Young, C.3
-
127
-
-
0019070070
-
Directions and issues in architecture and language
-
October
-
Flynn MJ (1980) Directions and Issues in Architecture and Language. IEEE Computer, October: 13(10):5-22
-
(1980)
IEEE Computer
, vol.13
, Issue.10
, pp. 5-22
-
-
Flynn, M.J.1
-
129
-
-
0032592098
-
Deep-submicron microprocessor design issues
-
July-August
-
Flynn MJ, Hung P, Rudd KW (1999) Deep-Submicron Microprocessor Design Issues. IEEE Micro, July-August: 19(4):11-22
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 11-22
-
-
Flynn, M.J.1
Hung, P.2
Rudd, K.W.3
-
132
-
-
0034998502
-
Evaluation of the streams-C C-to-FPGA compiler: An applications perspective
-
Frigo J, Gokhale M, Lavenier D (2001) Evaluation of the Streams-C C-to-FPGA Compiler: An Applications Perspective. In Proc. FPGA, pp 134-140
-
(2001)
Proc. FPGA
, pp. 134-140
-
-
Frigo, J.1
Gokhale, M.2
Lavenier, D.3
-
134
-
-
0033079573
-
AMULET2e: An asynchronous embedded controller
-
Furber SB, Garside JD, Riocreux P, Temple S, Day P, Liu J, Paver NC (1999) AMULET2e: An Asynchronous Embedded Controller. In Proc. IEEE, 87(2):243-256
-
(1999)
Proc. IEEE
, vol.87
, Issue.2
, pp. 243-256
-
-
Furber, S.B.1
Garside, J.D.2
Riocreux, P.3
Temple, S.4
Day, P.5
Liu, J.6
Paver, N.C.7
-
135
-
-
84892061474
-
-
visited on January 2007
-
Gaisler Research (2007) http://www.gaisler.com (visited on January 2007).
-
(2007)
-
-
-
136
-
-
0003453799
-
-
Prentice-Hall, Englewood Cliffs, NJ
-
Gajski DD, Vahid F, Narayan S, Gong J (1994) Specification and Design of Embedded Systems. Prentice-Hall, Englewood Cliffs, NJ
-
(1994)
Specification and Design of Embedded Systems
-
-
Gajski, D.D.1
Vahid, F.2
Narayan, S.3
Gong, J.4
-
137
-
-
0003652206
-
-
Kluwer Academic Publishers, Norwell, MA
-
Gajski DD, Zhu J, Dömer R, Gerstlauer A, Zhao S (2000) SpecC: Specification Language and Methodology. Kluwer Academic Publishers, Norwell, MA
-
(2000)
SpecC: Specification Language and Methodology
-
-
Gajski, D.D.1
Zhu, J.2
Dömer, R.3
Gerstlauer, A.4
Zhao, S.5
-
138
-
-
84947917161
-
Mapping DSP applications to a high-performance reconfigurable coarse-grain data-path
-
Galanis M, Theodoridis G, Tragoudas S, Soudris D, Goutis C (2004) Mapping DSP Applications to a High-Performance Reconfigurable Coarse-Grain Data-Path. In Proc. FPL, pp 868-873
-
(2004)
Proc. FPL
, pp. 868-873
-
-
Galanis, M.1
Theodoridis, G.2
Tragoudas, S.3
Soudris, D.4
Goutis, C.5
-
145
-
-
84892058631
-
-
Kaunas University of Technology, ISSN 1392-2114 Ultragarsas, Nr. 2
-
Genutis M, Kazanavicius E (2001) Benchmarking in DSP. Kaunas University of Technology, ISSN 1392-2114 Ultragarsas, Nr. 2 (39)
-
(2001)
Benchmarking in DSP
, Issue.39
-
-
Genutis, M.1
Kazanavicius, E.2
-
150
-
-
0030647234
-
A result forwarding mechanism for asynchronous pipelined systems
-
Gilbert DA, Garside JD (1997) A Result Forwarding Mechanism for Asynchronous Pipelined Systems. In Proc. Async'97, pp 2-11
-
(1997)
Proc. Async'97
, pp. 2-11
-
-
Gilbert, D.A.1
Garside, J.D.2
-
153
-
-
0002288573
-
Pentium 4 (partially) previewed
-
August 28
-
Glaskowsky P (2000) Pentium 4(Partially) Previewed. Microprocessor Report, August 28, 14 (8):10-13
-
(2000)
Microprocessor Report
, vol.14
, Issue.8
, pp. 10-13
-
-
Glaskowsky, P.1
-
154
-
-
0008747291
-
Athlon edges out pentium 4
-
January 8
-
Glaskowsky P (2001) Athlon Edges Out Pentium 4. Microprocessor Report, January 8, 15 (1)
-
(2001)
Microprocessor Report
, vol.15
, Issue.1
-
-
Glaskowsky, P.1
-
156
-
-
33744770464
-
-
White Plains, New York, USA
-
Glossner J, Moudgill M, Iancu D, Nacer G, Jintukar S, Stanley S, Samori M, Raja T, Schulte M (2005) The Sandbridge Sandblaster Convergence Platform. Sandbridge Technologies Inc., White Plains, New York, USA
-
(2005)
The Sandbridge Sandblaster Convergence Platform. Sandbridge Technologies Inc.
-
-
Glossner, J.1
Moudgill, M.2
Iancu, D.3
Nacer, G.4
Jintukar, S.5
Stanley, S.6
Samori, M.7
Raja, T.8
Schulte, M.9
-
157
-
-
84892007113
-
-
GNU project web pages at http://www.gnu.org
-
-
-
-
159
-
-
0034174187
-
PipeRench: A reconfigurable architecture and compiler
-
April
-
Goldstein SC, Schmit H, Budiu M, Cadambi S, Moe M, Taylor RR (2000) PipeRench: A Reconfigurable Architecture and Compiler. IEEE Computer, April, 33(4):70-77
-
(2000)
IEEE Computer
, vol.33
, Issue.4
, pp. 70-77
-
-
Goldstein, S.C.1
Schmit, H.2
Budiu, M.3
Cadambi, S.4
Moe, M.5
Taylor, R.R.6
-
160
-
-
34547423880
-
Exploiting coarse-grained task, data, and pipeline parallelism in stream programs
-
Gordon MI, Thies W, Amarasinghe S (2006) Exploiting Coarse-Grained Task, Data, and Pipeline Parallelism in Stream Programs. In Proc. International Conference on Architectural Support for Programming Languages and Operating Systems, pp 151-162
-
(2006)
Proc. International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 151-162
-
-
Gordon, M.I.1
Thies, W.2
Amarasinghe, S.3
-
161
-
-
0036959649
-
A stream compiler for communication-exposed architectures
-
Gordon MI, Thies W, Karczmarek M, Lin J, Meli AS, Lamb AA, Leger C, Wong J, Hoffmann H, Maze D, Amarasinghe S (2002) A Stream Compiler for Communication-Exposed Architectures. In Proc. ASPLOS, pp 291-303
-
(2002)
Proc. ASPLOS
, pp. 291-303
-
-
Gordon, M.I.1
Thies, W.2
Karczmarek, M.3
Lin, J.4
Meli, A.S.5
Lamb, A.A.6
Leger, C.7
Wong, J.8
Hoffmann, H.9
Maze, D.10
Amarasinghe, S.11
-
163
-
-
0032071753
-
High-performance microprocessor design
-
Gronowski PE, Bowhill WJ, Preston RP, Gowan MK, Allmon RL (1998) High-performance Microprocessor Design, IEEE Journal of Solid-State Circuits, 33(5):676-686
-
(1998)
IEEE Journal of Solid-State Circuits
, vol.33
, Issue.5
, pp. 676-686
-
-
Gronowski, P.E.1
Bowhill, W.J.2
Preston, R.P.3
Gowan, M.K.4
Allmon, R.L.5
-
165
-
-
33646015987
-
Synergistic processing in CELL's multicore architecture
-
March-April
-
Gschwind M, Hofstee P, Flachs B, Hopkins M, Watanabe Y, Yamazaki T (2006) Synergistic Processing in CELL's Multicore Architecture. IEEE Micro, 26(2):10-24, March-April
-
(2006)
IEEE Micro
, vol.26
, Issue.2
, pp. 10-24
-
-
Gschwind, M.1
Hofstee, P.2
Flachs, B.3
Hopkins, M.4
Watanabe, Y.5
Yamazaki, T.6
-
169
-
-
39749169032
-
Automatic generation of instruction sequences targeting hard-to-detect structural faults in a processor
-
paper 27.3
-
Gurumurthy S, Vasudevan S, Abraham JA (2006) Automatic Generation of Instruction Sequences Targeting Hard-to-Detect Structural Faults in a Processor. In Proc. IEEE International Test Conference, paper 27.3
-
(2006)
Proc. IEEE International Test Conference
-
-
Gurumurthy, S.1
Vasudevan, S.2
Abraham, J.A.3
-
171
-
-
4644338714
-
Coppermine outruns athlon
-
October
-
Gwennap L (1999) Coppermine Outruns Athlon. Microprocessor Report, October, 13(14):1-2
-
(1999)
Microprocessor Report
, vol.13
, Issue.14
, pp. 1-2
-
-
Gwennap, L.1
-
174
-
-
33847101870
-
A conditional clocking flip-flop for low power H.264/MPEG-4 audio/visual codec LSI
-
Hamada M, Hara H, Fujita T, Chen Kong The, Shimazawa T, Kawabe N, Kitahara T, Kikuchi Y, Nishikawa T, Takahashi M, Oowaki Y (2005) A Conditional Clocking Flip-Flop for Low Power H.264/MPEG-4 Audio/Visual Codec LSI. In Proc. Custom Integrated Circuits Conference, pp 527-530
-
(2005)
Proc. Custom Integrated Circuits Conference
, pp. 527-530
-
-
Hamada, M.1
Hara, H.2
Fujita, T.3
The, C.K.4
Shimazawa, T.5
Kawabe, N.6
Kitahara, T.7
Kikuchi, Y.8
Nishikawa, T.9
Takahashi, M.10
Oowaki, Y.11
-
176
-
-
0003666511
-
-
2nd edn. Academic Press San Diego
-
Handy J (1993) The Cache Memory Book. 2nd edn. Academic Press San Diego
-
(1993)
The Cache Memory Book
-
-
Handy, J.1
-
180
-
-
84893641728
-
A decade of reconfigurable computing: A visionary retrospective
-
Hartenstein R (2001) A Decade of Reconfigurable Computing: A Visionary Retrospective. In Proc. DATE, pp 642-649
-
(2001)
Proc. DATE
, pp. 642-649
-
-
Hartenstein, R.1
-
183
-
-
11844295577
-
-
Key Centre for Software Technology, Department of Computer Science, University of Queensland, Australia
-
Hayes IJ, Jones CB (1990) Specifications Are Not (Necessarily) Executable. Technical Report 148, Key Centre for Software Technology, Department of Computer Science, University of Queensland, Australia
-
(1990)
Specifications Are Not (Necessarily) Executable. Technical Report 148
-
-
Hayes, I.J.1
Jones, C.B.2
-
184
-
-
17844394732
-
The engineering of supersystems
-
Hellestrand G (2005) The Engineering of Supersystems. IEEE Computer, 38(1):103-105
-
(2005)
IEEE Computer
, vol.38
, Issue.1
, pp. 103-105
-
-
Hellestrand, G.1
-
185
-
-
33746950563
-
-
Computer Systems Laboratory, Stanford University
-
Hennessy JL, Jouppi N, Baskett F, Gill J (1981) MIPS: A VLSI Processor Architecture. Technical Report No. 223, Computer Systems Laboratory, Stanford University
-
(1981)
MIPS: A VLSI Processor Architecture. Technical Report No. 223
-
-
Hennessy, J.L.1
Jouppi, N.2
Baskett, F.3
Gill, J.4
-
189
-
-
27544463966
-
-
PhD Thesis, Department of Electrical Engineering, Linköping University, Sweden
-
Henriksson T (2003) Intra-Packet Data-Flow Protocol Processor. PhD Thesis, Department of Electrical Engineering, Linköping University, Sweden
-
(2003)
Intra-Packet Data-Flow Protocol Processor
-
-
Henriksson, T.1
-
191
-
-
0033309980
-
Logic BIST for large industrial designs: Real issues and case studies
-
Hetherington G, Fryars T, Tamarapalli N, Kassab M, Hassan A, Rajski J (1992) Logic BIST for Large Industrial Designs: Real Issues and Case Studies. In Proc. IEEE International Test Conference, pp 358-367
-
(1992)
Proc. IEEE International Test Conference
, pp. 358-367
-
-
Hetherington, G.1
Fryars, T.2
Tamarapalli, N.3
Kassab, M.4
Hassan, A.5
Rajski, J.6
-
193
-
-
41349103913
-
-
visited on January 2007
-
Heysters P, Rauwerda GK, Smit LT (2007) A Flexible, Low Power, High Performance DSP IP Core for Programmable Systems-on-Chip. Design & Reuse Industry Articles, available at http://www.us.design-reuse.com/articles/ article12159.html (visited on January 2007)
-
(2007)
A Flexible, Low Power, High Performance DSP IP Core for Programmable Systems-on-Chip. Design & Reuse Industry Articles
-
-
Heysters, P.1
Rauwerda, G.K.2
Smit, L.T.3
-
197
-
-
85008025144
-
A novel methodology for the design of application-specific instruction-set processors (ASIPs) using a machine description language
-
Hoffmann A, Kogel T, Nohl A, Braun G, Schliebusch O, Wahlen O, Wieferink A, Meyr H (2001) A Novel Methodology for the Design of Application-Specific Instruction-Set Processors (ASIPs) Using a Machine Description Language. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 20(11):1338-1354
-
(2001)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.20
, Issue.11
, pp. 1338-1354
-
-
Hoffmann, A.1
Kogel, T.2
Nohl, A.3
Braun, G.4
Schliebusch, O.5
Wahlen, O.6
Wieferink, A.7
Meyr, H.8
-
199
-
-
27644567646
-
Power efficient processor architecture and the cell processor
-
Hofstee HP (2005) Power Efficient Processor Architecture and the Cell Processor. Invited paper in Proc. HPCA-11, pp 258-262
-
(2005)
Invited Paper in Proc. HPCA-11
, pp. 258-262
-
-
Hofstee, H.P.1
-
202
-
-
0025487068
-
Figures of merit for system path time estimation
-
Hsi CG, Tucker SG (1990) Figures of Merit for System Path Time Estimation. In Proc. ICCD, pp 49-55
-
(1990)
Proc. ICCD
, pp. 49-55
-
-
Hsi, C.G.1
Tucker, S.G.2
-
203
-
-
84892133617
-
-
IEEE P1800, Verified 2007-01-24
-
IEEE P1800 (2007) System Verilog Technical Committee Page. Available at http://www.eda.org/sv/. Verified 2007-01-24
-
(2007)
System Verilog Technical Committee Page
-
-
-
204
-
-
84892068719
-
-
Verified 2007-01-24
-
Inria (2007) About Esterel Language. Available at http://www-sop. inria.fr/esterel.org/Html/About/AboutEsterel.htm. Verified 2007-01-24
-
(2007)
About Esterel Language
-
-
-
205
-
-
84892042193
-
-
International Technology Roadmap for Semiconductors 2005 ITRS
-
International Technology Roadmap for Semiconductors (2005) ITRS. Available at http://www.itrs.net
-
-
-
-
206
-
-
84891990685
-
-
IPFlex (2007) www.ipflex.com
-
(2007)
-
-
-
207
-
-
0033681402
-
PEAS-III: An ASIP design environment
-
Itoh M, Higaki S, Sato J, Shiomi A, Takeuchi Y, Kitajima A, Imai M (2000) PEAS-III: An ASIP Design Environment. In Proc. International Conference on Computer Design, pp 430-436
-
(2000)
Proc. International Conference on Computer Design
, pp. 430-436
-
-
Itoh, M.1
Higaki, S.2
Sato, J.3
Shiomi, A.4
Takeuchi, Y.5
Kitajima, A.6
Imai, M.7
-
208
-
-
0002731162
-
Design challenges for new application-specific processors
-
Jacome MF, de Veciana G (2000) Design Challenges for New Application-Specific Processors. IEEE Design & Test of Computers, 17(2):40-50
-
(2000)
IEEE Design & Test of Computers
, vol.17
, Issue.2
, pp. 40-50
-
-
Jacome, M.F.1
De Veciana, G.2
-
210
-
-
27944442332
-
Cost/performance trade-off in floating-point unit design for 3D geometry processor
-
Jeong CH, Park WC, Han TD, Kim SD (1999) Cost/Performance Trade-off in Floating-Point Unit Design for 3D Geometry Processor. In Proc. AP-ASIC, pp 104-107
-
(1999)
Proc. AP-ASIC
, pp. 104-107
-
-
Jeong, C.H.1
Park, W.C.2
Han, T.D.3
Kim, S.D.4
-
211
-
-
84892006803
-
The design and implementation of CalmlRISC32 floating-point unit
-
Jeong CH, Park WC, Kim SW, Han TD (2000) The Design and Implementation of CalmlRISC32 Floating-Point Unit. In Proc. AP-ASIC, pp 327-330
-
(2000)
Proc. AP-ASIC
, pp. 327-330
-
-
Jeong, C.H.1
Park, W.C.2
Kim, S.W.3
Han, T.D.4
-
213
-
-
13244291306
-
Efficient template generation for instruction-based self-test of processor cores
-
Kambe K, Inoue M, Fujiwara H (2004) Efficient Template Generation for Instruction-Based Self-Test of Processor Cores. In Proc. IEEE Asian Test Symposium, pp 152-157
-
(2004)
Proc. IEEE Asian Test Symposium
, pp. 152-157
-
-
Kambe, K.1
Inoue, M.2
Fujiwara, H.3
-
216
-
-
0036396915
-
The imagine stream processor
-
Kapasi UJ, Dally WJ, Rixner S, Owens JD, Khailany B (2002) The Imagine Stream Processor. In Proc. ICCD, pp 282-288
-
(2002)
Proc. ICCD
, pp. 282-288
-
-
Kapasi, U.J.1
Dally, W.J.2
Rixner, S.3
Owens, J.D.4
Khailany, B.5
-
218
-
-
0036715136
-
PICO: Automatically designing custom computers
-
Kathail V, Aditya S, Schreiber R, Rau BR, Cronquist DC, Sivaraman M (2002) PICO: Automatically Designing Custom Computers. IEEE Computer, 35(9):39-47
-
(2002)
IEEE Computer
, vol.35
, Issue.9
, pp. 39-47
-
-
Kathail, V.1
Aditya, S.2
Schreiber, R.3
Rau, B.R.4
Cronquist, D.C.5
Sivaraman, M.6
-
219
-
-
0032070396
-
A reduced clock-swing flip-flop (RCSFF) for 63% power reduction
-
Kawaguchi H, Sakurai T (1998) A Reduced Clock-Swing Flip-Flop (RCSFF) for 63% Power Reduction. IEEE Journal of Solid-State Circuits, 33(5):807-811
-
(1998)
IEEE Journal of Solid-State Circuits
, vol.33
, Issue.5
, pp. 807-811
-
-
Kawaguchi, H.1
Sakurai, T.2
-
221
-
-
84892090324
-
Descale
-
Furber S, Sparsø J eds
-
Kessels J, Peeters A, Kramer T, Timm V (2001) Descale. In Furber S, Sparsø J (eds) Principles of Asynchronous Circuit Design: A Systems Perspective Kluwer, pp 221-248
-
(2001)
Principles of Asynchronous Circuit Design: A Systems Perspective Kluwer
, pp. 221-248
-
-
Kessels, J.1
Peeters, A.2
Kramer, T.3
Timm, V.4
-
222
-
-
0034428118
-
System-level design: Orthogonalization of concerns and platform-based design
-
Keutzer K, Malik S, Newton AR, Rabaey JM, Sangiovanni-Vincentelli A (2000) System-Level Design: Orthogonalization of Concerns and Platform-Based Design. IEEE Transactions on Computer-Aided Design of Integrated Circuits, 19(12):1523-1543
-
(2000)
IEEE Transactions on Computer-Aided Design of Integrated Circuits
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer, K.1
Malik, S.2
Newton, A.R.3
Rabaey, J.M.4
Sangiovanni-Vincentelli, A.5
-
224
-
-
67649112372
-
Development of an audio player as system-on-a-chip using an open source platform
-
Kiatisevi P, Azuara L, Dorsch R, Wunderlich HJ (2005) Development of an Audio Player as System-on-a-Chip Using an Open Source Platform. In Proc. International Symposium on Circuits and Systems (ISCAS), Vol 3, pp 2935-2938
-
(2005)
Proc. International Symposium on Circuits and Systems (ISCAS)
, vol.3
, pp. 2935-2938
-
-
Kiatisevi, P.1
Azuara, L.2
Dorsch, R.3
Wunderlich, H.J.4
-
225
-
-
0030679033
-
An approach for quantitative analysis of application specific data-flow architectures
-
Kienhuis B, Deprettere E, Vissers K, Van der Wolf P (1997) An Approach for Quantitative Analysis of Application Specific Data-Flow Architectures. In Proc. Application-Specific Systems, Architectures and Processors (ASAP), pp 338-349
-
(1997)
Proc. Application-Specific Systems, Architectures and Processors (ASAP)
, pp. 338-349
-
-
Kienhuis, B.1
Deprettere, E.2
Vissers, K.3
Van Der Wolf, P.4
-
226
-
-
84949203155
-
-
January, 2268
-
Kienhuis B, Deprettere E, Van der Wolf P, Vissers K (2002) A Methodology to Design Programmable Embedded Systems-The Y-Chart Approach. Lecture Notes in Computer Science, January, 2268:18-37
-
(2002)
A Methodology to Design Programmable Embedded Systems-The Y-Chart Approach. Lecture Notes in Computer Science
, pp. 18-37
-
-
Kienhuis, B.1
Deprettere, E.2
Van Der Wolf, P.3
Vissers, K.4
-
227
-
-
0036292594
-
An ISA and microarchitecture for instruction level distributed processing
-
Kim HS, Smith JE (2002) An ISA and Microarchitecture for Instruction Level Distributed Processing. In Proc. ISCA, pp 71-81
-
(2002)
Proc. ISCA
, pp. 71-81
-
-
Kim, H.S.1
Smith, J.E.2
-
228
-
-
1542299262
-
Energy characterization of a tiled architecture processor with on-chip networks
-
Kim JS, Taylor MB, Miller J, Wentzlatff D (2003) Energy Characterization of a Tiled Architecture Processor with On-Chip Networks. In Proc. ISLPED, pp 424-427
-
(2003)
Proc. ISLPED
, pp. 424-427
-
-
Kim, J.S.1
Taylor, M.B.2
Miller, J.3
Wentzlatff, D.4
-
229
-
-
84892125239
-
-
XCELL Journal, Xilinx, Issue 52, Spring
-
Klein M (2005) The Virtex-4 Power Play. XCELL Journal, Xilinx, Issue 52, Spring
-
(2005)
The Virtex-4 Power Play
-
-
Klein, M.1
-
230
-
-
85008031236
-
MinneSPEC: A new SPEC benchmark workload for simulation-based computer architecture research
-
Klein Osowski AJ, Lilja DJ (2006) MinneSPEC: A New SPEC Benchmark Workload for Simulation-Based Computer Architecture Research. Computer Architecture Letters, 1(1):7
-
(2006)
Computer Architecture Letters
, vol.1
, Issue.1
, pp. 7
-
-
Klein Osowski, A.J.1
Lilja, D.J.2
-
231
-
-
0029291150
-
Half-swing clocking scheme for 75% power saving in clocking circuitry
-
Kojima H, Tanaka S, Sasaki K (1995) Half-Swing Clocking Scheme for 75% Power Saving in Clocking Circuitry. IEEE Journal of Solid-State Circuits, 30(4):432-435
-
(1995)
IEEE Journal of Solid-State Circuits
, vol.30
, Issue.4
, pp. 432-435
-
-
Kojima, H.1
Tanaka, S.2
Sasaki, K.3
-
232
-
-
20344374162
-
Niagara: A 32-way multithreaded sparc processor
-
Kongetira P, Aingaran K, Olukotun K (2005) Niagara: A 32-Way Multithreaded Sparc Processor. IEEE Micro, 25(2):21-29
-
(2005)
IEEE Micro
, vol.25
, Issue.2
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
234
-
-
0032204608
-
New direction for computer architecture research
-
Kozyrakis CE, Patterson DA (1998) New Direction for Computer Architecture Research. IEEE Computer, 31(11):24-32
-
(1998)
IEEE Computer
, vol.31
, Issue.11
, pp. 24-32
-
-
Kozyrakis, C.E.1
Patterson, D.A.2
-
235
-
-
4644342443
-
Xdspcore-A compiler based configurable digital signal processor
-
Design and Tools, July/August
-
Krall A, Hirnschrott U, Panis C, Pryanishnikov I (2004) xDSPcore-A Compiler Based Configurable Digital Signal Processor. In IEEE Micro, Special Issue on Embedded Systems: Architecture, Design and Tools, July/August, vol 24, No. 4, pp 67-78
-
(2004)
IEEE Micro, Special Issue on Embedded Systems: Architecture
, vol.24
, Issue.4
, pp. 67-78
-
-
Krall, A.1
Hirnschrott, U.2
Panis, C.3
Pryanishnikov, I.4
-
236
-
-
0037377908
-
Instruction-based self-testing of processor cores
-
Special Issue on 20th IEEE VLSI Test Symposium 2002
-
Kranitis N, Paschalis A, Gizopoulos D, Zorian Y (2003) Instruction-Based Self-Testing of Processor Cores. Journal of Electronic Testing: Theory and Applications, No 19, pp 103-112, (Special Issue on 20th IEEE VLSI Test Symposium 2002)
-
(2003)
Journal of Electronic Testing: Theory and Applications
, Issue.19
, pp. 103-112
-
-
Kranitis, N.1
Paschalis, A.2
Gizopoulos, D.3
Zorian, Y.4
-
237
-
-
17644398178
-
Software-based self-testing of embedded processors
-
Kranitis N, Paschalis A, Gizopoulos D, Xenoulis G (2005) Software-Based Self-Testing of Embedded Processors. IEEE Transactions on Computers, 54(4):461-475
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.4
, pp. 461-475
-
-
Kranitis, N.1
Paschalis, A.2
Gizopoulos, D.3
Xenoulis, G.4
-
238
-
-
34047128750
-
Optimal periodic testing of intermittent faults in embedded pipelined processor applications
-
Kranitis N, Merentitis A, Laoutaris N, Theodorou G, Paschalis A, Gizopoulos D, Halatsis C (2006) Optimal Periodic Testing of Intermittent Faults In Embedded Pipelined Processor Applications. In Proc. DATE, pp 65-70
-
(2006)
Proc. DATE
, pp. 65-70
-
-
Kranitis, N.1
Merentitis, A.2
Laoutaris, N.3
Theodorou, G.4
Paschalis, A.5
Gizopoulos, D.6
Halatsis, C.7
-
239
-
-
4644337990
-
The vector-thread architecture
-
Krashinsky R, Batten C, Hampton M, Gerding S, Pharris B, Casper J, Asanovic K (2004) The Vector-Thread Architecture. In Proc, ISCA, pp 52-63
-
(2004)
Proc, ISCA
, pp. 52-63
-
-
Krashinsky, R.1
Batten, C.2
Hampton, M.3
Gerding, S.4
Pharris, B.5
Casper, J.6
Asanovic, K.7
-
240
-
-
84892059420
-
-
Microprocessor Report, November 1
-
Krewell K (2004) Intel Cancels 4GHz P4. Microprocessor Report, November 1, 18 (11)
-
(2004)
Intel Cancels 4GHz P4
, vol.18
, Issue.11
-
-
Krewell, K.1
-
241
-
-
0036645652
-
Embedded software-based self-test for programmable core-based designs
-
Krstic A, Chen L, Lai WC, Cheng KT, Dey S (2002) Embedded Software-Based Self-Test for Programmable Core-Based Designs. IEEE Design and Test of Computers, 19(4):18-26
-
(2002)
IEEE Design and Test of Computers
, vol.19
, Issue.4
, pp. 18-26
-
-
Krstic, A.1
Chen, L.2
Lai, W.C.3
Cheng, K.T.4
Dey, S.5
-
244
-
-
0035505541
-
® oprocessor
-
® oprocessor, IEEE Journal of Solid-State Circuits, 36(11):1647-1653
-
(2001)
IEEE Journal of Solid-State Circuits
, vol.36
, Issue.11
, pp. 1647-1653
-
-
Kurd, N.A.1
Barkarullah, J.S.2
Dizon, R.O.3
Fletcher, T.D.4
Madland, P.D.5
-
248
-
-
0015206785
-
On a pin versus block relationship for partitions of logic graphs
-
Landman BS, Russo RL (1971) On a Pin Versus Block Relationship for Partitions of Logic Graphs. IEEE Transactions on Computers, C 20(12):1469-1479
-
(1971)
IEEE Transactions on Computers, C
, vol.20
, Issue.12
, pp. 1469-1479
-
-
Landman, B.S.1
Russo, R.L.2
-
249
-
-
0003582061
-
-
IEEE Press, New York
-
Lapsley P, Bier J, Shoham A, Lee EA (1997) DSP Processor Fundamentals, Architectures and Features. IEEE Press, New York
-
(1997)
DSP Processor Fundamentals, Architectures and Features
-
-
Lapsley, P.1
Bier, J.2
Shoham, A.3
Lee, E.A.4
-
252
-
-
84892050294
-
-
Lattice 2007 company web page
-
Lattice (2007) company web page http://www.latticesemi.com
-
-
-
-
253
-
-
84892137295
-
-
Lattice 2007 Mico32
-
Lattice (2007) Mico32. Available at http://www.latticesemi.com/products/ intellectualproperty/ipcores/mico32
-
-
-
-
254
-
-
0031599788
-
Space-time scheduling of instruction-level parallelism on a raw machine
-
Lee W, Barua R, Frank M, Srikrishna D, Babb J, Sarkar V, Amarashinghe S (1998) Space-Time Scheduling of Instruction-Level Parallelism on a Raw Machine. In Proc. ASPLOS, pp 46-54
-
(1998)
Proc. ASPLOS
, pp. 46-54
-
-
Lee, W.1
Barua, R.2
Frank, M.3
Srikrishna, D.4
Babb, J.5
Sarkar, V.6
Amarashinghe, S.7
-
256
-
-
21244432926
-
Lower SoC operating frequencies to cut power dissipation
-
February
-
Leibson S (2004) Lower SoC operating frequencies to cut power dissipation. In Portable Design, February
-
(2004)
Portable Design
-
-
Leibson, S.1
-
258
-
-
22944472975
-
Configurable processors: A new era in chip design
-
July
-
Leibson S, Kim J (2005) Configurable Processors: A New Era in Chip Design. IEEE Computer, July, pp 51-59
-
(2005)
IEEE Computer
, pp. 51-59
-
-
Leibson, S.1
Kim, J.2
-
259
-
-
0026839484
-
The stanford DASH multiprocessor
-
Lenoski D, Laudon J, Gharachorloo K, Weber WD, Gupta A, Hennessy J, Horowitz M, Lam MS (1992) The Stanford DASH Multiprocessor. IEEE Computer, 25(3):63-79
-
(1992)
IEEE Computer
, vol.25
, Issue.3
, pp. 63-79
-
-
Lenoski, D.1
Laudon, J.2
Gharachorloo, K.3
Weber, W.D.4
Gupta, A.5
Hennessy, J.6
Horowitz, M.7
Lam, M.S.8
-
261
-
-
0033720597
-
Hardware-Software co-design of embedded reconfigurable architectures
-
Li Y, Callahan T, Darnell E, Harr R, Kurkure U, Stockwood J (2000) Hardware-Software Co-Design of Embedded Reconfigurable Architectures. In Proc. DAC, pp 507-512
-
(2000)
Proc. DAC
, pp. 507-512
-
-
Li, Y.1
Callahan, T.2
Darnell, E.3
Harr, R.4
Kurkure, U.5
Stockwood, J.6
-
263
-
-
9544258270
-
Self-timed communication platform for implementing high-performance systems-on-chip
-
Liljeberg P, Plosila J, Isoaho J (2004) Self-Timed Communication Platform for Implementing High-Performance Systems-on-Chip. Integration. The VLSI Journal 38(1):43-67
-
(2004)
Integration The VLSI Journal
, vol.38
, Issue.1
, pp. 43-67
-
-
Liljeberg, P.1
Plosila, J.2
Isoaho, J.3
-
265
-
-
84892066428
-
The vortex: An asynchronous superscalar processor
-
Lines A (2007) The Vortex: An Asynchronous Superscalar Processor. In Proc. Async'07
-
(2007)
Proc. Async'07
-
-
Lines, A.1
-
267
-
-
0242551725
-
A VLIW processor with reconfigurable instruction set for embedded applications
-
Lodi A, Campi F, Toma M, Cappelli A, Canegallo R, Guerrieri R (2003) A VLIW Processor with Reconfigurable Instruction Set for Embedded Applications. IEEE Journal of Solid-State Circuits (JSSC), 38(11):1876-1886
-
(2003)
IEEE Journal of Solid-State Circuits (JSSC)
, vol.38
, Issue.11
, pp. 1876-1886
-
-
Lodi, A.1
Campi, F.2
Toma, M.3
Cappelli, A.4
Canegallo, R.5
Guerrieri, R.6
-
268
-
-
31344461370
-
XiSystem: A XiRisc-based SoC with a reconfigurable I/O Module
-
F
-
Lodi A, Cappelli A, Bocchi M, Mucci C, Innocenti M, De Bartolomeis C, Ciccarelli L, Giansante R, Deledda A, FCampi F, Toma M, Guerrieri R (2006) XiSystem: A XiRisc-based SoC with a Reconfigurable I/O Module. IEEE Journal of Solid-State Circuits (JSSC), 41(1):85-96
-
(2006)
IEEE Journal of Solid-State Circuits (JSSC)
, vol.41
, Issue.1
, pp. 85-96
-
-
Lodi, A.1
Cappelli, A.2
Bocchi, M.3
Mucci, C.4
Innocenti, M.5
De Bartolomeis, C.6
Ciccarelli, L.7
Giansante, R.8
Deledda, A.9
Campi, F.10
Toma, M.11
Guerrieri, R.12
-
269
-
-
46249102696
-
A multi-context pipelined array for embedded system
-
Lodi A, Mucci C, Bocchi M, Cappelli A, De Dominicis M, Ciccarelli L (2006) A Multi-Context Pipelined Array for Embedded System. In Proc. FPL, pp 581-588
-
(2006)
Proc. FPL
, pp. 581-588
-
-
Lodi, A.1
Mucci, C.2
Bocchi, M.3
Cappelli, A.4
De Dominicis, M.5
Ciccarelli, L.6
-
270
-
-
0033688597
-
Smart memories: A modular reconfigurable architecture
-
Mai K, Paaske T, Jayasena N, Ho R, Dally W, Horowitz M (2000) Smart Memories: A Modular Reconfigurable Architecture. In Proc. ISCA, pp 161-171
-
(2000)
Proc. ISCA
, pp. 161-171
-
-
Mai, K.1
Paaske, T.2
Jayasena, N.3
Ho, R.4
Dally, W.5
Horowitz, M.6
-
271
-
-
0031343311
-
Seeking solutions in configurable computing
-
Mangione-Smith WH, Hutchings B, Andrews D, DeHon A, Ebeling C, Hartenstein R, Mencer O, Morris J, Palem K, Prasanna VK, Spaanenburg HAE (1997) Seeking Solutions in Configurable Computing. IEEE Computer, 30(12):38-43
-
(1997)
IEEE Computer
, vol.30
, Issue.12
, pp. 38-43
-
-
Mangione-Smith, W.H.1
Hutchings, B.2
Andrews, D.3
DeHon, A.4
Ebeling, C.5
Hartenstein, R.6
Mencer, O.7
Morris, J.8
Palem, K.9
Prasanna, V.K.10
Spaanenburg, H.A.E.11
-
272
-
-
0000421548
-
-
ARVLSI: Decennial Caltech Conference on VLSI, ed. Seitz CL, MIT Press
-
Martin AJ, Burns SM, Lee TK, Borkovic D, Hazewindus PJ (1989) The Design of an Asynchronous Microprocessor. ARVLSI: Decennial Caltech Conference on VLSI, ed. Seitz CL, pp 351-373, MIT Press
-
(1989)
The Design of an Asynchronous Microprocessor
, pp. 351-373
-
-
Martin, A.J.1
Burns, S.M.2
Lee, T.K.3
Borkovic, D.4
Hazewindus, P.J.5
-
278
-
-
84891999474
-
1, 000 cores on a chip: Rapport's kilocore chip makes quick work of video processing
-
July 11
-
McLaughlin L (2006) 1, 000 Cores on a Chip: Rapport's Kilocore Chip Makes Quick Work of Video Processing. In Technology Review, Massachusetts Institute of Technology, July 11. Available at http://www.technologyreview.com
-
(2006)
Technology Review, Massachusetts Institute of Technology
-
-
McLaughlin, L.1
-
280
-
-
35248884474
-
ADRES: An architecture with tightly coupled VLIW processor and corse-grained reconfigurable matrix
-
Mei B, Vernalde S, Verkest D, DeMan H, Lauwereins R (2003) ADRES: An Architecture with Tightly Coupled VLIW Processor and Corse-Grained Reconfigurable Matrix. In Proc. FPL, pp 61-70
-
(2003)
Proc. FPL
, pp. 61-70
-
-
Mei, B.1
Vernalde, S.2
Verkest, D.3
DeMan, H.4
Lauwereins, R.5
-
281
-
-
33746893453
-
Mapping an H.264 decoder onto the adres reconfigurable architecture
-
Mei B, Veredas F, Masschelein B (2005) Mapping an H.264 Decoder onto the ADRES Reconfigurable Architecture. In Proc. FPL, pp 622-625
-
(2005)
Proc. FPL
, pp. 622-625
-
-
Mei, B.1
Veredas, F.2
Masschelein, B.3
-
284
-
-
38849175495
-
Mapping concurrent applications onto architectural platforms
-
Jantsch A, Tenhunen H eds
-
Mihal A, Keutzer K (2003) Mapping Concurrent Applications onto Architectural Platforms. In Jantsch A, Tenhunen H (eds) Networks on Chip, Kluwer Academic Publishers Chapter 3, pp 39-59
-
(2003)
Networks on Chip, Kluwer Academic Publishers Chapter 3
, pp. 39-59
-
-
Mihal, A.1
Keutzer, K.2
-
285
-
-
0012536967
-
Performance considerations for the scaling of sub-micron on-chip interconnections
-
Mii Y (1992) Performance Considerations for the Scaling of Sub-Micron On-Chip Interconnections. In Proc. SPIE 1805, pp 332-341
-
(1992)
Proc. SPIE 1805
, pp. 332-341
-
-
Mii, Y.1
-
286
-
-
84891975117
-
-
miniMIPS CPU (2007) www.opencores.org/projects/minimips
-
(2007)
-
-
-
289
-
-
0031678276
-
A noise-immune GHz-clock distribution scheme using synchronous distributed oscillators
-
Mizuno H, Ishibashi K (1998) A Noise-Immune GHz-Clock Distribution Scheme Using Synchronous Distributed Oscillators. In ISSCC Digest of Technical Papers, pp 404-405
-
(1998)
ISSCC Digest of Technical Papers
, pp. 404-405
-
-
Mizuno, H.1
Ishibashi, K.2
-
292
-
-
84891999251
-
-
MorphICs Technology inc. (2007) http://www.morphics.com
-
(2007)
-
-
-
293
-
-
84892069250
-
-
Morpho Technologies (2007) http://www.morphotech.com
-
(2007)
-
-
-
295
-
-
0016141886
-
Microprogrammed subprocessors for compilation and execution of high-level languages
-
Palo Alto, California
-
Moulton P (1974) Microprogrammed Subprocessors for Compilation and Execution of High-Level Languages. In 7th Annual Workshop on Microprogramming. Palo Alto, California, pp 74-79
-
(1974)
7th Annual Workshop on Microprogramming
, pp. 74-79
-
-
Moulton, P.1
-
296
-
-
78650037036
-
A C-based algorithm development flow for a reconfigurable processor architecture
-
Mucci C, Chiesa C, Lodi A, Toma M, Campi F (2003) A C-Based Algorithm Development Flow for a Reconfigurable Processor Architecture. In Proc. International Symposium on System-on-Chip, pp 69-73
-
(2003)
Proc. International Symposium on System-on-Chip
, pp. 69-73
-
-
Mucci, C.1
Chiesa, C.2
Lodi, A.3
Toma, M.4
Campi, F.5
-
297
-
-
33746317587
-
A cycle-accurate iss for a dynamically reconfigurable processor architecture
-
Mucci C, Campi F, Deledda A, Fazzi A, Ferri M, Bocchi M (2005) A Cycle-Accurate ISS for a Dynamically Reconfigurable Processor Architecture. In Proc. 9th IEEE International Parallel and Distributed Processing Symposium (IPDPS), Vol 4
-
(2005)
Proc. 9th IEEE International Parallel and Distributed Processing Symposium (IPDPS)
, vol.4
-
-
Mucci, C.1
Campi, F.2
Deledda, A.3
Fazzi, A.4
Ferri, M.5
Bocchi, M.6
-
298
-
-
84892116168
-
A C-based programming environment for a heterogeneous reconfigurable system
-
Mucci C, Bocchi M, Gagliardi P, Cappelli A, Innocenti M, Giansante R, Lodi A, Toma M, Campi F, Guerrieri R (2006) A C-Based Programming Environment for a Heterogeneous Reconfigurable System. In Proc. Workshop on Wireless Reconfigurable Terminals and Platforms (WiRTeP)
-
(2006)
Proc. Workshop on Wireless Reconfigurable Terminals and Platforms (WiRTeP)
-
-
Mucci, C.1
Bocchi, M.2
Gagliardi, P.3
Cappelli, A.4
Innocenti, M.5
Giansante, R.6
Lodi, A.7
Toma, M.8
Campi, F.9
Guerrieri, R.10
-
300
-
-
0036111661
-
The implementation of the next-generation 64b itanium microprocessor
-
472
-
Naffziger SD, Hammond G (2002) The Implementation of the Next-Generation 64b Itanium Microprocessor. In ISSCC Digest of Technical Papers, pp 344-345, 472
-
(2002)
ISSCC Digest of Technical Papers
, pp. 344-345
-
-
Naffziger, S.D.1
Hammond, G.2
-
302
-
-
0042564715
-
High-level language abstraction for recon-figurable computing
-
Najjar WA, Bohm W, Draper BA, Hammes J, Rinker R, Beveridge JR, Chawathe M, Ross C (2003) High-Level Language Abstraction for Recon-figurable Computing. IEEE Computer, 36(8):63-69
-
(2003)
IEEE Computer
, vol.36
, Issue.8
, pp. 63-69
-
-
Najjar, W.A.1
Bohm, W.2
Draper, B.A.3
Hammes, J.4
Rinker, R.5
Beveridge, J.R.6
Chawathe, M.7
Ross, C.8
-
303
-
-
0028448101
-
TITAC: Design of a quasi-delay-insensitive microprocessor
-
Nanya T, Ueno Y, Kagotani H, Kuwako M, Takamura A (1994) TITAC: Design of a Quasi-Delay-Insensitive Microprocessor. IEEE Design & Test of Computers, 11(2):50-63
-
(1994)
IEEE Design & Test of Computers
, vol.11
, Issue.2
, pp. 50-63
-
-
Nanya, T.1
Ueno, Y.2
Kagotani, H.3
Kuwako, M.4
Takamura, A.5
-
304
-
-
84891987852
-
-
NEC DRP Product Family (2007) http://www.necel.com/drp/en/index.html
-
(2007)
-
-
-
306
-
-
0027262012
-
The J-machine multicomputer: An architectural evaluation
-
Noakes M (1993) The J-Machine Multicomputer: An Architectural Evaluation. In Proc. ISCA, pp 224-235
-
(1993)
Proc. ISCA
, pp. 224-235
-
-
Noakes, M.1
-
307
-
-
1842631524
-
A DSP core for speech coding applications
-
April
-
Nurmi J, Eerola V, Ofner E, Gierlinger A, Jernej J, Karema T, Raitaaho T (1994) A DSP Core for Speech Coding Applications. In Proc. ICASSP, April, Vol 2, pp 429-432
-
(1994)
Proc. ICASSP
, vol.2
, pp. 429-432
-
-
Nurmi, J.1
Eerola, V.2
Ofner, E.3
Gierlinger, A.4
Jernej, J.5
Karema, T.6
Raitaaho, T.7
-
309
-
-
0003530609
-
Physical modeling and system level performance characterization of a protocol processor architecture
-
Nurmi T, Virtanen S, Isoaho J, Tenhunen H (2000) Physical Modeling and System Level Performance Characterization of a Protocol Processor Architecture. In Proc. Norchip Conference, pages 294-301
-
(2000)
Proc. Norchip Conference
, pp. 294-301
-
-
Nurmi, T.1
Virtanen, S.2
Isoaho, J.3
Tenhunen, H.4
-
310
-
-
84891420713
-
Global interconnect analysis
-
Nurmi J, Tenhunen H, Isoaho J, Jantsch A eds, Kluwer Academic Publishers, Chapter 3
-
Nurmi T, Liu J, Pamunuwa D, Ahonen T, Zheng LR, Isoaho J, Tenhunen H (2004) Global Interconnect Analysis. In Nurmi J, Tenhunen H, Isoaho J, Jantsch A (eds) Interconnect-Centric Design for Advanced SoC and NoC, Kluwer Academic Publishers, Chapter 3, pp 55-84
-
(2004)
Interconnect-Centric Design for Advanced SoC and NoC
, pp. 55-84
-
-
Nurmi, T.1
Liu, J.2
Pamunuwa, D.3
Ahonen, T.4
Zheng, L.R.5
Isoaho, J.6
Tenhunen, H.7
-
314
-
-
84892023069
-
-
OpenRISC CPU (2007) http://www.bsemi.com
-
(2007)
-
-
-
317
-
-
84891974095
-
-
Pact XPP Technologies (2007) http//:www.pactxpp. com
-
(2007)
-
-
-
321
-
-
4544261441
-
FSEL-Selective predicated execution for a configurable DSP core
-
Lafayette, Louisiana, USA
-
Panis C, Hirnschrott U, Krall A, Laure G, Lazian W, Nurmi J (2004) FSEL-Selective Predicated Execution for a Configurable DSP Core. Proc. IEEE Annual Symposium on VLSI (ISVLSI-04), Lafayette, Louisiana, USA, pp 317-320
-
(2004)
Proc. IEEE Annual Symposium on VLSI (ISVLSI-04)
, pp. 317-320
-
-
Panis, C.1
Hirnschrott, U.2
Krall, A.3
Laure, G.4
Lazian, W.5
Nurmi, J.6
-
322
-
-
3042745238
-
A scaleable instruction buffer and align unit for xDSPcore
-
July
-
Panis C, Grünbacher H, Nurmi J (2004) A Scaleable Instruction Buffer and Align Unit for xDSPcore. IEEE Journal of Solid-State Circuits, July, 35(7):1094-1100
-
(2004)
IEEE Journal of Solid-State Circuits
, vol.35
, Issue.7
, pp. 1094-1100
-
-
Panis, C.1
Grünbacher, H.2
Nurmi, J.3
-
324
-
-
0000934719
-
An effective BIST architecture for fast multiplier cores
-
Paschalis A, Gizopoulos D, Kranitis N, Psarakis M, Zorian Y (1999) An Effective BIST Architecture for Fast Multiplier Cores. In Proc. IEEE DATE, pp 117-121
-
(1999)
Proc. IEEE DATE
, pp. 117-121
-
-
Paschalis, A.1
Gizopoulos, D.2
Kranitis, N.3
Psarakis, M.4
Zorian, Y.5
-
325
-
-
51749096347
-
Deterministic software-based self-testing of embedded processor cores
-
Paschalis A, Gizopoulos D, Kranitis N, Psarakis M, Zorian Y (2001) Deterministic Software-Based Self-Testing of Embedded Processor Cores. In Proc. DATE, pp 92-96
-
(2001)
Proc. DATE
, pp. 92-96
-
-
Paschalis, A.1
Gizopoulos, D.2
Kranitis, N.3
Psarakis, M.4
Zorian, Y.5
-
331
-
-
11544372898
-
The case for the reduced instruction set computer
-
8 October
-
Patterson DA, Ditzel DR (1980) The Case for the Reduced Instruction Set Computer. Computer Architecture News, 8 October: 25-33
-
(1980)
Computer Architecture News
, pp. 25-33
-
-
Patterson, D.A.1
Ditzel, D.R.2
-
332
-
-
84976662501
-
The open channel: Response to 'computers, complexity, and controversy
-
Patterson DA, Hennessy JL (1985) The Open Channel: Response to 'Computers, Complexity, and Controversy.' IEEE Computer November, 18(11):142-143
-
(1985)
IEEE Computer November
, vol.18
, Issue.11
, pp. 142-143
-
-
Patterson, D.A.1
Hennessy, J.L.2
-
334
-
-
77957956814
-
SPA-A synthesisable amulet core for smartcard applications
-
Plana LA, Riocreux PA, Bainbridge WJ, Bardsley A, Garside JD, Temple S (2002) SPA-A Synthesisable Amulet Core for Smartcard Applications. Proc. Async'02, pp 201-210
-
(2002)
Proc. Async'02
, pp. 201-210
-
-
Plana, L.A.1
Riocreux, P.A.2
Bainbridge, W.J.3
Bardsley, A.4
Garside, J.D.5
Temple, S.6
-
335
-
-
84891988726
-
-
Plasma CPU Model (2007) http://www.opencores.org/projects/mips
-
(2007)
-
-
-
337
-
-
84891995936
-
-
PowerPC FPU (2007) http://www.xilinx.com/xlnx/xebiz/designResources/ip- product-details.jsp?key=DO-DI-FPU-SP
-
(2007)
PowerPC FPU
-
-
-
338
-
-
34249786960
-
Systematic software-based self-test for pipelined processors
-
Psarakis M, Gizopoulos D, Hatzimihail M, Paschalis A, Raghunathan A, Ravi S (2006) Systematic Software-Based Self-Test for Pipelined Processors. In Proc. DAC, pp 393-398
-
(2006)
Proc. DAC
, pp. 393-398
-
-
Psarakis, M.1
Gizopoulos, D.2
Hatzimihail, M.3
Paschalis, A.4
Raghunathan, A.5
Ravi, S.6
-
339
-
-
84947577027
-
Silicon platforms for the next generation wireless systems-What role does reconfigurable hardware play?
-
Rabaey JM (2000) Silicon Platforms for the Next Generation Wireless systems-What Role Does Reconfigurable Hardware Play? Proc. Field Programmable Logic and Applications Conference (FPL), pp 277-285
-
(2000)
Proc. Field Programmable Logic and Applications Conference (FPL)
, pp. 277-285
-
-
Rabaey, J.M.1
-
340
-
-
0030714347
-
Reconfigurable computing: The solution to low power programmable DSP
-
Rabaey JM (1997) Reconfigurable Computing: The solution to Low Power Programmable DSP. In Proc. ICASSP, pp 275-278
-
(1997)
Proc. ICASSP
, pp. 275-278
-
-
Rabaey, J.M.1
-
341
-
-
0003850954
-
-
Upper Saddle River, NJ, USA., 2nd edn
-
Rabaey JM, Chandrakasan A, Nikolic B (2003) Digital Integrated Circuits-a Design Perspective. Prentice Hall/Pearson Education International, Upper Saddle River, NJ, USA., 2nd edn.
-
(2003)
Digital Integrated Circuits-A Design Perspective. Prentice Hall/Pearson Education International
-
-
Rabaey, J.M.1
Chandrakasan, A.2
Nikolic, B.3
-
344
-
-
84892030832
-
-
Raza Microelectronics, Inc. (2007) http://www.razamicroelectronics.com/ products/xlr.htm
-
(2007)
-
-
-
345
-
-
0028768023
-
A high performance microarchitecture with hardware-programmable functional units
-
Razdan R, Smith M (1994) A High Performance Microarchitecture with Hardware-Programmable Functional Units. Proc. Microarchitecture (MICRO-27), pp 172-180
-
(1994)
Proc. Microarchitecture (MICRO-27)
, pp. 172-180
-
-
Razdan, R.1
Smith, M.2
-
346
-
-
0036113803
-
The clock distribution of the power4 microprocessor
-
Restle PJ, Carter CA, Eckhardt JP, Krauter BL, McCredie BD, Jenkins KA, Weger AJ (2002) The Clock Distribution of the Power4 Microprocessor. In ISSCC Digest of Technical Papers, pp 144-145
-
(2002)
ISSCC Digest of Technical Papers
, pp. 144-145
-
-
Restle, P.J.1
Carter, C.A.2
Eckhardt, J.P.3
Krauter, B.L.4
McCredie, B.D.5
Jenkins, K.A.6
Weger, A.J.7
-
347
-
-
84892057343
-
-
January 19 URL
-
Reuters Press Release (1989) Unisys Introduces Micro A Computer. January 19 URL: http://query.nytimes.com/gst/fullpage.html?res= 950DE2DE113AF93AA25752C0A96F948260
-
(1989)
Unisys Introduces Micro a Computer
-
-
-
348
-
-
34250640126
-
-
DrTech Thesis, Tampere University of Technology. TUT Publication 573
-
Ristimäki T (2005) Reconfigurable IP Blocks: a MIMD Approach. DrTech Thesis, Tampere University of Technology. TUT Publication 573
-
(2005)
Reconfigurable IP Blocks: A MIMD Approach
-
-
Ristimäki, T.1
-
349
-
-
21244466925
-
Reprogrammable algorithm accelerator IP block
-
Ristimäki T, Nurmi J (2003) Reprogrammable Algorithm Accelerator IP Block. In Proc. IFIP VLSI-SOC, pp 228-232
-
(2003)
Proc. IFIP VLSI-SOC
, pp. 228-232
-
-
Ristimäki, T.1
Nurmi, J.2
-
351
-
-
33847202228
-
Implementing non power-of-two FFTs on coarse-grain reconfigurable architectures
-
Rivaton A, Quevremont J, Zhang Q, Wolkotte P, Smit G (2005) Implementing Non Power-of-Two FFTs on Coarse-Grain Reconfigurable Architectures. In Proc. Int'l Symposium on SOC, pp 74-77
-
(2005)
Proc. Int'l Symposium on SOC
, pp. 74-77
-
-
Rivaton, A.1
Quevremont, J.2
Zhang, Q.3
Wolkotte, P.4
Smit, G.5
-
352
-
-
0032312385
-
A bandwidth-efficient architecture for media processing
-
Rixner S, Dally WJ, Kapasi UJ, Khailany B, López-Lagunas A, Mattson PR, Owens JD (1998) A Bandwidth-Efficient Architecture for Media Processing. In Proc. MICRO-31, pp 3-13
-
(1998)
Proc. MICRO-31
, pp. 3-13
-
-
Rixner, S.1
Dally, W.J.2
Kapasi, U.J.3
Khailany, B.4
López-Lagunas, A.5
Mattson, P.R.6
Owens, J.D.7
-
356
-
-
33646928144
-
Directions in future high-end processors
-
Sai-Halasz G (1992) Directions in Future High-End Processors. In Proc. ICCD, pp 230-233
-
(1992)
Proc. ICCD
, pp. 230-233
-
-
Sai-Halasz, G.1
-
357
-
-
0029207481
-
Performance trends in high-end processors
-
Sai-Halasz G (1995) Performance Trends in High-End Processors. Proceedings of the IEEE, 83(1):20
-
(1995)
Proceedings of the IEEE
, vol.83
, Issue.1
, pp. 20
-
-
Sai-Halasz, G.1
-
358
-
-
0034459218
-
Modulo scheduling for a fully-distributed clustered VLIW architecture
-
Sanchez J, Gonzales A (2000) Modulo Scheduling for a Fully-Distributed Clustered VLIW Architecture. In Proc. MICRO-33, pp 124-133
-
(2000)
Proc. MICRO-33
, pp. 124-133
-
-
Sanchez, J.1
Gonzales, A.2
-
364
-
-
84893758474
-
Object-oriented modelling of parallel hardware systems
-
Schumacher G, Nebel W (1998) Object-Oriented Modelling of Parallel Hardware Systems. In Proc. DATE, pp 234-241
-
(1998)
Proc. DATE
, pp. 234-241
-
-
Schumacher, G.1
Nebel, W.2
-
367
-
-
84892124660
-
-
SDR forum (2007) web page at http://www.sdrforum.org
-
(2007)
-
-
-
368
-
-
0035704608
-
Synthesis of hardware models in C with pointers and complex data structures
-
Semeria L, Sato K, De Micheli G (2001) Synthesis of Hardware Models in C with Pointers and Complex Data Structures. IEEE Transactions on VLSI Systems, 9(6):743-756
-
(2001)
IEEE Transactions on VLSI Systems
, vol.9
, Issue.6
, pp. 743-756
-
-
Semeria, L.1
Sato, K.2
De Micheli, G.3
-
369
-
-
8844226032
-
NPClick: A productive software development approach for network processors
-
Shah N, Plishker W, Ravindran K, Keutzer K (2004) NPClick: A Productive Software Development Approach for Network Processors. IEEE Micro, 24(5):45-54
-
(2004)
IEEE Micro
, vol.24
, Issue.5
, pp. 45-54
-
-
Shah, N.1
Plishker, W.2
Ravindran, K.3
Keutzer, K.4
-
370
-
-
0032306939
-
Native mode functional test generation for microprocessors with applications to self-test and design validation
-
Shen J, Abraham JA (1998) Native Mode Functional Test Generation for Microprocessors with Applications to Self-Test and Design Validation. In Proc. IEEE International Test Conference, pp 990-999
-
(1998)
Proc. IEEE International Test Conference
, pp. 990-999
-
-
Shen, J.1
Abraham, J.A.2
-
372
-
-
0030231545
-
NuMesh: An architecture optimized for scheduled communication
-
Shoemaker D, Honoré F, Metcalf C, Ward S (1996) NuMesh: An Architecture Optimized for Scheduled Communication. Journal of Supercomputing, 10(3):285-302
-
(1996)
Journal of Supercomputing
, vol.10
, Issue.3
, pp. 285-302
-
-
Shoemaker, D.1
Honoré, F.2
Metcalf, C.3
Ward, S.4
-
376
-
-
0008164846
-
MorphoSys: An integrated re-configurable architecture
-
Monterey, CA, April
-
Singh H, Lee MH, Lu G, Kurdahi FG, Bagherzadeh N, Lang T, Heaton R, Filho EMC (1998) MorphoSys: An Integrated Re-Configurable Architecture. In Proc. NATO Symposium on System Concepts and Integration, Monterey, CA, April
-
(1998)
Proc. NATO Symposium on System Concepts and Integration
-
-
Singh, H.1
Lee, M.H.2
Lu, G.3
Kurdahi, F.G.4
Bagherzadeh, N.5
Lang, T.6
Heaton, R.7
Filho, E.M.C.8
-
377
-
-
0034187952
-
MorphoSys: An integrated reconfigurable system for data-parallel and computation-intensive applications
-
Singh H, Lee MH, Lu G, Kurdahi FJ, Bagherzadeh N, Chaves Filho EM (2000) MorphoSys: An Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications. IEEE Transactions on Computers, 49(5):465-481
-
(2000)
IEEE Transactions on Computers
, vol.49
, Issue.5
, pp. 465-481
-
-
Singh, H.1
Lee, M.H.2
Lu, G.3
Kurdahi, F.J.4
Bagherzadeh, N.5
Filho, E.M.C.6
-
378
-
-
33845527218
-
Instruction-based self-testing of delay faults in pipelined processors
-
Singh V, Inoue M, Saluja KK, Fujiwara H (2006) Instruction-Based Self-Testing of Delay Faults in Pipelined Processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(11):1203-1215
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.11
, pp. 1203-1215
-
-
Singh, V.1
Inoue, M.2
Saluja, K.K.3
Fujiwara, H.4
-
379
-
-
21244483518
-
Lessons learned from designing the montium, a coarse grained reconfigurable processing tile
-
Smit G, Heysters P, Rosien M, Molenkamp B (2004) Lessons Learned from Designing the Montium, a Coarse Grained Reconfigurable Processing Tile. In Proc. International Symposium on SoC, pp 29-32
-
(2004)
Proc. International Symposium on SoC
, pp. 29-32
-
-
Smit, G.1
Heysters, P.2
Rosien, M.3
Molenkamp, B.4
-
381
-
-
0036385678
-
Performance-constrained pipelining of software loops onto reconfigurable hardware
-
Snider G (2002) Performance-Constrained Pipelining of Software Loops onto Reconfigurable Hardware. In Proc. FPGA, pp 177-186
-
(2002)
Proc. FPGA
, pp. 177-186
-
-
Snider, G.1
-
387
-
-
46249127094
-
Architecture exploration and tools for pipelined coarse grained reconfigurable arrays
-
August
-
Stock F, Koch A (2006) Architecture Exploration and Tools for Pipelined Coarse Grained Reconfigurable Arrays. In Proc. FPL, August
-
(2006)
Proc. FPL
-
-
Stock, F.1
Koch, A.2
-
388
-
-
84891967036
-
-
Stretch, Inc. (2007) www.stretchinc.com
-
(2007)
-
-
-
389
-
-
0038345686
-
A performance analysis of PIM, stream processing, and tiled processing on memory-intensive signal processing kernels
-
Suh J, Kim EG, Crago SP, Srinivasan L, French MC (2003) A Performance Analysis of PIM, Stream Processing, and Tiled Processing on Memory-Intensive Signal Processing Kernels. In Proc. ISCA, pp 410-419
-
(2003)
Proc. ISCA
, pp. 410-419
-
-
Suh, J.1
Kim, E.G.2
Crago, S.P.3
Srinivasan, L.4
French, M.C.5
-
390
-
-
84892060245
-
-
SUIF (2007) Compiler System. Available at http://suif.standford.edu
-
(2007)
Compiler System
-
-
-
391
-
-
2442597187
-
Using C based logic synthesis to bridge the productivity gap
-
Sullivan C, Wilson A, Chappell S. (2004) Using C Based Logic Synthesis to Bridge the Productivity Gap. In Proc. ASP-DAC, pp 349-354
-
(2004)
Proc. ASP-DAC
, pp. 349-354
-
-
Sullivan, C.1
Wilson, A.2
Chappell, S.3
-
396
-
-
0000712307
-
System-level performance modeling with BACPAC-Berkeley advanced chip performance calculator
-
Sylvester D, Keutzer K (1999) System-Level Performance Modeling with BACPAC-Berkeley Advanced Chip Performance Calculator. In Proc. SLIP, pp 109-114
-
(1999)
Proc. SLIP
, pp. 109-114
-
-
Sylvester, D.1
Keutzer, K.2
-
397
-
-
84892110128
-
-
SystemC web pages at http://www.systemc.org
-
-
-
-
398
-
-
84892069449
-
-
Verified 2007-01-25
-
SystemC EDA Products (2007) http://www.systemc.org/docman2/ViewCategory. php?group-id=4&category-id=10. Verified 2007-01-25
-
(2007)
-
-
-
399
-
-
84891988341
-
-
SystemVerilog Homepage (2007) http://www.systemverilog.org/. Verified2007-01-24
-
(2007)
-
-
-
400
-
-
33749066310
-
Architecture for an advanced java Co-processor
-
Iasi, Romania
-
Säntti T, Plosila J (2005) Architecture for an Advanced Java Co-Processor, In Proc. ISSCS 2005, Iasi, Romania, Vol 2, pp 501-504
-
(2005)
Proc. ISSCS 2005
, vol.2
, pp. 501-504
-
-
Säntti, T.1
Plosila, J.2
-
403
-
-
0031336623
-
TITAC-2: A 32-bit asynchronous microprocessor based on scalable-delay-insensitive model
-
Takamura A, Kuwako M, Imai M, Fujii T, Ozawa M, Fukasaku I, Ueno Y, Nanya T (1997) TITAC-2: A 32-bit Asynchronous Microprocessor Based on Scalable-Delay-Insensitive Model. Proc. ICCD'97, pp 288-294
-
(1997)
Proc. ICCD'97
, pp. 288-294
-
-
Takamura, A.1
Kuwako, M.2
Imai, M.3
Fujii, T.4
Ozawa, M.5
Fukasaku, I.6
Ueno, Y.7
Nanya, T.8
-
405
-
-
0034317347
-
Clock generation and distribution for the first IA-64 microprocessor
-
Tam S, Rusu S, Nagarji Desai U, Kim R, Ji Zhang, Young I (2000) Clock Generation and Distribution for the First IA-64 Microprocessor. IEEE Journal of Solid-State Circuits, 35(11):1545-1552
-
(2000)
IEEE Journal of Solid-State Circuits
, vol.35
, Issue.11
, pp. 1545-1552
-
-
Tam, S.1
Rusu, S.2
Desai, U.N.3
Kim, R.4
Zhang, J.5
Young, I.6
-
408
-
-
0036505033
-
The raw microprocessor: A computational fabric for software circuits and general purpose programs
-
Taylor MB, Kim J, Miller J, Wentzlaff D, Ghodrat F, Greenwald B, Hoffmann H, Johnson P, Lee JW, Lee W, Ma A, Saraf A, Seneski M, Shnidman N, Strumpen V, Frank M, Amarasinghe S, Agarwal A (2002) The Raw Microprocessor: A Computational Fabric for Software Circuits and General Purpose Programs. IEEE Micro, 22(2):25-35
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 25-35
-
-
Taylor, M.B.1
Kim, J.2
Miller, J.3
Wentzlaff, D.4
Ghodrat, F.5
Greenwald, B.6
Hoffmann, H.7
Johnson, P.8
Lee, J.W.9
Lee, W.10
Ma, A.11
Saraf, A.12
Seneski, M.13
Shnidman, N.14
Strumpen, V.15
Frank, M.16
Amarasinghe, S.17
Agarwal, A.18
-
409
-
-
84955456130
-
Scalar operand networks: On-chip interconnect for ILP in partitioned architectures
-
Taylor MB, Lee W, Amarasinghe S, Agarwal A (2003) Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architectures. In Proc. HPCA, pp 341-353
-
(2003)
Proc. HPCA
, pp. 341-353
-
-
Taylor, M.B.1
Lee, W.2
Amarasinghe, S.3
Agarwal, A.4
-
410
-
-
14844348900
-
Scalar operand networks
-
Taylor MB, Lee W, Amarasinghe S, Agarwal A (2005) Scalar Operand Networks. IEEE Transctions on Parallel and Distributed Systems, 16(2):145-162
-
(2005)
IEEE Transctions on Parallel and Distributed Systems
, vol.16
, Issue.2
, pp. 145-162
-
-
Taylor, M.B.1
Lee, W.2
Amarasinghe, S.3
Agarwal, A.4
-
413
-
-
84892105556
-
Matlab
-
Verified 2007-01-24
-
The Mathworks (2007) Matlab. Available at http://www.mathworks.com/ products/matlab/description1.html. Verified 2007-01-24
-
(2007)
Available at
-
-
-
414
-
-
84891978205
-
Simulink
-
Verified 2007-01-24
-
The Mathworks (2007) Simulink. Available at http://www.mathworks.com/ products/simulink/description1.html. Verified 2007-01-24
-
(2007)
Available at
-
-
-
415
-
-
84892015826
-
-
Verified 2007-01-27
-
The Open SystemC Initiative (2007) OSCI SystemC TLM 2.0 Draft 1. Available at http://www.systemc.org/web/sitedocs/TLM-2-0.html. Verified 2007-01-27
-
(2007)
OSCI SystemC TLM 2.0 Draft 1
-
-
-
416
-
-
84892118708
-
-
Verified 2007-01-24
-
The Ptolemy project (2007) http://ptolemy.eecs.berkeley.edu/. Verified 2007-01-24
-
(2007)
-
-
-
417
-
-
0003644553
-
-
Real-Time for Java Expert Group, Addison-Wesley
-
The Real-Time for Java Expert Group (2000) The Real-Time Specification for Java. Addison-Wesley
-
(2000)
The Real-Time Specification for Java.
-
-
-
420
-
-
19344378044
-
Reconfigurable computing: Architectures and design methods
-
March
-
Todman T, Constantinides G, Wilton S, Mencer O, Luk W, Cheung P (2005) Reconfigurable Computing: Architectures and Design Methods. In IEE Proc. Computers and Digital Techniques, Vol 152, No. 1, March, pp 193-207
-
(2005)
IEE Proc. Computers and Digital Techniques
, vol.152
, Issue.1
, pp. 193-207
-
-
Todman, T.1
Constantinides, G.2
Wilton, S.3
Mencer, O.4
Luk, W.5
Cheung, P.6
-
421
-
-
0036053279
-
A new reduced clock-swing flip-flop: NAND-type keeper flip-flop (NDKFF)
-
Tokumasu M, Fujii H, Ohta M, Fuse T, Kameyama A (2002) A New Reduced Clock-Swing Flip-Flop: NAND-Type Keeper Flip-Flop (NDKFF). In Proc. Custom Integrated Circuits Conference, pp 129-132
-
(2002)
Proc. Custom Integrated Circuits Conference
, pp. 129-132
-
-
Tokumasu, M.1
Fujii, H.2
Ohta, M.3
Fuse, T.4
Kameyama, A.5
-
422
-
-
0009950888
-
The linux edge
-
DiBona C, Ockman S, Stone M eds, O'Reilly and Associates, Inc., Sebastopol, CA
-
Torvalds L (1999) The Linux Edge. In: DiBona C, Ockman S, Stone M (eds) Open Sources: Voices from the Open Source Revolution. O'Reilly and Associates, Inc., Sebastopol, CA
-
(1999)
Open Sources: Voices from the Open Source Revolution
-
-
Torvalds, L.1
-
424
-
-
21244471498
-
A UML profile for the TACO protocol processing platform
-
Truscan D (2004) A UML Profile for the TACO Protocol Processing Platform. In Proc. Norchip Conference, pp 225-228
-
(2004)
Proc. Norchip Conference
, pp. 225-228
-
-
Truscan, D.1
-
426
-
-
84891978194
-
-
Microprocessor Report, February 9. Silicon Hive web site
-
+. Microprocessor Report, February 9. Available at Silicon Hive web site: www.silicon-hive.com
-
(2004)
+
-
-
Turley, J.1
-
427
-
-
34447571243
-
-
Lawrence Berkeley National Laboratory, May
-
UPC Consortium (2005) UPC language specifications v1.2. Technical Report LBNL-59208, Lawrence Berkeley National Laboratory, May. Available at http://upc.lbl.gov/docs/user/upc-spec-1.2.pdf
-
(2005)
UPC Language Specifications V1.2. Technical Report LBNL-59208
-
-
-
428
-
-
84892088298
-
-
Verified 2007-01-25
-
University of Aachen (2007) Lisa Retargetable SW Development Tool Suite. Available at http://servus.ert.rwth-aachen. de/lisa/. Verified 2007-01-25
-
(2007)
Lisa Retargetable SW Development Tool Suite
-
-
-
429
-
-
84892094932
-
-
Verified 2007-01-24 Irvine
-
University of California, Irvine (2007) SpecC System. Available at http://www.ics.uci.edu/~specc/. Verified 2007-01-24
-
(2007)
SpecC System
-
-
-
430
-
-
0028447868
-
Asynchronous circuits for low power: A DCC error corrector
-
Van Berkel K, Burgess R, Kessels J, Roncken M, Schalij F, Peeters A (1994) Asynchronous Circuits for Low Power: A DCC Error Corrector. IEEE Design and Test of Computers 11(2):22-32
-
(1994)
IEEE Design and Test of Computers
, vol.11
, Issue.2
, pp. 22-32
-
-
Van Berkel, K.1
Burgess, R.2
Kessels, J.3
Roncken, M.4
Schalij, F.5
Peeters, A.6
-
432
-
-
0005631775
-
Processor modeling and code selection for retargetable compilation
-
July
-
Van Praet J, Lanneer D, Geurts W, Goossens G (2001) Processor Modeling and Code Selection for Retargetable Compilation. ACM Transactions on Design Automation of Electronic Systems, 6(3):277-307, July
-
(2001)
ACM Transactions on Design Automation of Electronic Systems
, vol.6
, Issue.3
, pp. 277-307
-
-
Van Praet, J.1
Lanneer, D.2
Geurts, W.3
Goossens, G.4
-
433
-
-
8744241430
-
The MOLEN polymorphic processor
-
November
-
Vassiliadis S, Wong S, Gaydadjiev G, Bertels K, Kuzmanov G, Panainte EM (2004) The MOLEN Polymorphic Processor. IEEE Transactions on Computers, November, 53(11):1363-1375
-
(2004)
IEEE Transactions on Computers
, vol.53
, Issue.11
, pp. 1363-1375
-
-
Vassiliadis, S.1
Wong, S.2
Gaydadjiev, G.3
Bertels, K.4
Kuzmanov, G.5
Panainte, E.M.6
-
434
-
-
0022964131
-
-
ACM Computing Surveys, December
-
Veen AH (1986) Dataflow Machine Architecture. ACM Computing Surveys, Vol 18, No 4, December
-
(1986)
Dataflow Machine Architecture
, vol.18
, Issue.4
-
-
Veen, A.H.1
-
435
-
-
84892019837
-
-
Verilog Homepage 2007, Verified 2007-01-24
-
Verilog Homepage (2007) http://www.verilog.com/. Verified 2007-01-24
-
-
-
-
436
-
-
0003526418
-
On communications protocols and their characteristics relevant to designing protocol processing hardware
-
Turku Centre for Computer Science, Turku, Finland
-
Virtanen S (1999) On Communications Protocols and Their Characteristics Relevant to Designing Protocol Processing Hardware. Technical Report 305, Turku Centre for Computer Science, Turku, Finland
-
(1999)
Technical Report 305
-
-
Virtanen, S.1
-
440
-
-
33947103749
-
A system-level framework for designing and evaluating protocol processor architectures
-
Virtanen S, Nurmi T, Paakkulainen J, Lilius J (2006) A System-Level Framework for Designing and Evaluating Protocol Processor Architectures. International Journal of Embedded Systems, 1(1-2):78-90
-
(2006)
International Journal of Embedded Systems
, vol.1
, Issue.1-2
, pp. 78-90
-
-
Virtanen, S.1
Nurmi, T.2
Paakkulainen, J.3
Lilius, J.4
-
444
-
-
0031236158
-
Baring it all to software: Raw machines
-
Waingold E, Taylor M, Srikrishna D, Sarkar V, Lee W, Lee V, Kim J, Frank M, Finch P, Barua R, Babb J, Amarasinghe S, Agarwal A (1997) Baring It All to Software: Raw Machines. IEEE Computer 30(9):86-93
-
(1997)
IEEE Computer
, vol.30
, Issue.9
, pp. 86-93
-
-
Waingold, E.1
Taylor, M.2
Srikrishna, D.3
Sarkar, V.4
Lee, W.5
Lee, V.6
Kim, J.7
Frank, M.8
Finch, P.9
Barua, R.10
Babb, J.11
Amarasinghe, S.12
Agarwal, A.13
-
447
-
-
33750139525
-
On a software based self-test methodology and its application
-
Wen CHP, Wang LC, Cheng KT, Yang K, Liu WT, Chen JJ (2005) On a Software
-
(2005)
Proc. IEEE VLSI Test Symposium
, pp. 107-113
-
-
Wen, C.H.P.1
Wang, L.C.2
Cheng, K.T.3
Yang, K.4
Liu, W.T.5
Chen, J.J.6
-
448
-
-
33847167415
-
Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology
-
Wen CHP, Wang LC, Cheng KT, Liu WT, Chen JJ (2005) Simulation-Based Target Test Generation Techniques for Improving The Robustness of a Software-Based-Self-Test Methodology. In Proc. IEEE International Test Conference, pp 936-945
-
(2005)
Proc. IEEE International Test Conference
, pp. 936-945
-
-
Wen, C.H.P.1
Wang, L.C.2
Cheng, K.T.3
Liu, W.T.4
Chen, J.J.5
-
450
-
-
0343462141
-
Automated empirical optimizations of software and the ATLAS project
-
Whaley RC, Petitet A, Dongarra JJ (2000) Automated Empirical Optimizations of Software and the ATLAS Project. Parallel Computing 27(1-2):3-35
-
(2000)
Parallel Computing
, vol.27
, Issue.1-2
, pp. 3-35
-
-
Whaley, R.C.1
Petitet, A.2
Dongarra, J.J.3
-
452
-
-
84891974971
-
-
Wikipedia, URL, Simultaneous Multithreading, consulted 5 August. URL http://en. wikipedia.org/wiki/Simultaneous-multithreading
-
Wikipedia (2006) Thread (Computer Science), consulted 5 August 2006. URL http://en. wikipedia.org/wiki/Thread-(computer-science), Simultaneous Multithreading, consulted 5 August. URL http://en. wikipedia.org/wiki/ Simultaneous-multithreading
-
(2006)
Thread (Computer Science), Consulted 5 August 2006
-
-
-
454
-
-
84976692695
-
SUIF: An infrastructure for research on paralelizing and optimizing compiler
-
Dec. 1994
-
Wilson R et al. (1994) SUIF: An Infrastructure for Research on Paralelizing and Optimizing Compiler. SIGPLAN Notices, page 31, Dec. 1994
-
(1994)
SIGPLAN Notices
, pp. 31
-
-
Wilson, R.1
-
456
-
-
25144441770
-
-
Prentice Hall, Upper Saddle River, NJ
-
Wolf W (2004) FPGA-Based System Design. Prentice Hall, Upper Saddle River, NJ
-
(2004)
FPGA-Based System Design
-
-
Wolf, W.1
-
460
-
-
0031117668
-
AMULET1: An asynchronous ARM microprocessor
-
Woods JV, Day P, Furber SB, Garside JD, Paver NC, Temple S (1997) AMULET1: An Asynchronous ARM Microprocessor. IEEE Transactions on Computers 46(4):385-398
-
(1997)
IEEE Transactions on Computers
, vol.46
, Issue.4
, pp. 385-398
-
-
Woods, J.V.1
Day, P.2
Furber, S.B.3
Garside, J.D.4
Paver, N.C.5
Temple, S.6
-
461
-
-
0035058526
-
The design and analysis of the clock distribution network for a 1.2ghz alpha microprocessor
-
Xanthopoulos T, Bailey DW, Gangwar AK, Gowan MK, Jain AK, Prewitt BK (2001) The Design and Analysis of the Clock Distribution Network for a 1.2GHz Alpha Microprocessor. In ISSCC Digest of Technical Papers, pp 402-403
-
(2001)
ISSCC Digest of Technical Papers
, pp. 402-403
-
-
Xanthopoulos, T.1
Bailey, D.W.2
Gangwar, A.K.3
Gowan, M.K.4
Jain, A.K.5
Prewitt, B.K.6
-
462
-
-
84892077456
-
-
Xilinx (2007) company web page. Available at http://www.xilinx.com
-
(2007)
Company Web Page
-
-
-
463
-
-
84892128264
-
-
Xilinx (2007) MicroBlaze. Available at http://www.xilinx.com/microblaze
-
(2007)
MicroBlaze
-
-
-
464
-
-
84892120201
-
-
visited on January 2007
-
Xilinx (2007) Microblaze architecture. Available at (visited on January 2007) http://www.xilinx.com/ipcenter/processor-central/microblaze/architecture. htm
-
(2007)
Microblaze Architecture
-
-
-
465
-
-
84891991962
-
-
visited on January 2007
-
Xilinx (2007) FPU Available at http://www.xilinx.com/xlnx/xebiz/ designResources/ip-product-details.jsp?key=DO-DI-FPU-SP (visited on January 2007)
-
(2007)
FPU
-
-
-
467
-
-
77957955928
-
An investigation into the security of self-timed circuits
-
Yu ZC, Furber SB, Plana LA (2003) An Investigation into the Security of Self-Timed Circuits, Proc. Async'03, pp 206-215
-
(2003)
Proc. Async'03
, pp. 206-215
-
-
Yu, Z.C.1
Furber, S.B.2
Plana, L.A.3
-
469
-
-
84891985611
-
-
Cavium Networks homepage (2007) http://www.cavium.com
-
(2007)
-
-
|