-
2
-
-
0003830345
-
-
Random House, Inc., New York, New York
-
T. R. Reid, The Chip: How Two Americans Invented the Microchip and Launched a Revolution, Random House, Inc., New York, New York, 2001.
-
(2001)
The Chip: How Two Americans Invented the Microchip and Launched a Revolution
-
-
Reid, T.R.1
-
4
-
-
0013441572
-
-
U. S. Patent # 3, 138, 743, June 23
-
J. S. Kilby, "Miniaturized Electronic Circuits", U. S. Patent # 3, 138, 743, June 23, 1964.
-
(1964)
Miniaturized Electronic Circuits
-
-
Kilby, J.S.1
-
5
-
-
0009409485
-
Planar silicon transistors and diodes
-
March
-
J. A. Hoerni, "Planar Silicon Transistors and Diodes", IRE Transactions on Electron Devices, Vol. 8, No. 2, pp. 178, March 1961.
-
(1961)
IRE Transactions on Electron Devices
, vol.8
, Issue.2
, pp. 178
-
-
Hoerni, J.A.1
-
6
-
-
33748896323
-
A historical perspective on the development of MOS transistors and related devices
-
July
-
D. Kahng, "A Historical Perspective on the Development of MOS Transistors and Related Devices", IEEE Transactions on Electron Devices, Vol. 23, No. 7, pp. 655-657, July 1976.
-
(1976)
IEEE Transactions on Electron Devices
, vol.23
, Issue.7
, pp. 655-657
-
-
Kahng, D.1
-
7
-
-
45849089058
-
-
U. S. Patent # 3, 475, 234, October 28
-
R. E. Kerwin, D. L. Klein, and J. C. Sarace, "Method for Making MIS Structures", U. S. Patent # 3, 475, 234, October 28, 1969.
-
(1969)
Method for Making MIS Structures
-
-
Kerwin, R.E.1
Klein, D.L.2
Sarace, J.C.3
-
8
-
-
0000793139
-
Cramming more components onto integrated circuits
-
April 19
-
G. E. Moore, "Cramming More Components onto Integrated Circuits", Electronics, pp. 114-117, April 19, 1965.
-
(1965)
Electronics
, pp. 114-117
-
-
Moore, G.E.1
-
11
-
-
0009672602
-
From circuit miniaturization to the scalable IC
-
May
-
B. T. Murphy, D. E. Haggan, and W. W. Troutman, "From Circuit Miniaturization to the Scalable IC", Proceedings of the IEEE, Vol. 88, No. 5, pp. 691-703, May 2000.
-
(2000)
Proceedings of the IEEE
, vol.88
, Issue.5
, pp. 691-703
-
-
Murphy, B.T.1
Haggan, D.E.2
Troutman, W.W.3
-
12
-
-
0004128690
-
-
McGraw-Hill, New York, New York
-
J. Millman, Microelectronics, McGraw-Hill, New York, New York, 1979.
-
(1979)
Microelectronics
-
-
Millman, J.1
-
13
-
-
67650093837
-
Standard parts and custom design merge in four-chip processor kit
-
April 24
-
F. Faggin and M. E. Hoff, "Standard Parts and Custom Design Merge in Four-Chip Processor Kit", Electronics, pp. 112-116, April 24, 1972.
-
(1972)
Electronics
, pp. 112-116
-
-
Faggin, F.1
Hoff, M.E.2
-
14
-
-
20344403770
-
Montecito: A dual-core, dual-thread itanium processor
-
March/April
-
C. McNairy and R. Bhatia, "Montecito: a Dual-Core, Dual-Thread Itanium Processor", IEEE Micro, Vol. 25, No. 2, pp. 10-20, March/April 2005.
-
(2005)
IEEE Micro
, vol.25
, Issue.2
, pp. 10-20
-
-
McNairy, C.1
Bhatia, R.2
-
15
-
-
33846213489
-
A 65-nm dual-core multithreaded xeon processor with 16-MB L3 cache
-
January
-
S. Rusu et al., "A 65-nm Dual-Core Multithreaded Xeon Processor with 16-MB L3 Cache", IEEE Journal of Solid-State Circuits, Vol. 42, No. 1, pp. 17-25, January 2007.
-
(2007)
IEEE Journal of Solid-state Circuits
, vol.42
, Issue.1
, pp. 17-25
-
-
Rusu, S.1
-
16
-
-
33947644880
-
The 65-nm 16-MB shared on-die l3 cache for the dual-core intel xeon processor 7100 series
-
April
-
J. Chang et al., "The 65-nm 16-MB Shared On-Die L3 Cache for the Dual-Core Intel Xeon Processor 7100 Series", IEEE Journal of Solid-State Circuits, Vol. 42, No. 4, pp. 846-852, April 2007.
-
(2007)
IEEE Journal of Solid-state Circuits
, vol.42
, Issue.4
, pp. 846-852
-
-
Chang, J.1
-
17
-
-
31344455430
-
Implementation of a Forth-Generation 1.8-GHz Dual-Core SPARC V9 Microprocessor
-
January
-
J. M. Hart et al., "Implementation of a Forth-Generation 1.8-GHz Dual-Core SPARC V9 Microprocessor", IEEE Journal of Solid-State Circuits, Vol. 41, No. 1, pp. 210-217, January 2006.
-
(2006)
IEEE Journal of Solid-state Circuits
, vol.41
, Issue.1
, pp. 210-217
-
-
Hart, J.M.1
-
18
-
-
3042669130
-
IBM Power5 chip: A dual-core multithreaded processor
-
March/April
-
R. Kalla, B. Sinharoy, and J. M. Tendler, "IBM Power5 Chip: a Dual-Core Multithreaded Processor", IEEE Micro, Vol. 24, No. 2, pp. 40-47, March/April 2004.
-
(2004)
IEEE Micro
, vol.24
, Issue.2
, pp. 40-47
-
-
Kalla, R.1
Sinharoy, B.2
Tendler, J.M.3
-
20
-
-
0033695995
-
On-Chip ÄI noise in the power distribution networks of high speed CMOS integrated circuits
-
September
-
K. T. Tang and E. G. Friedman, "On-Chip ÄI Noise in the Power Distribution Networks of High Speed CMOS Integrated Circuits", Proceedings of the IEEE International ASIC/SOC Conference, pp. 53-57, September 2000.
-
(2000)
Proceedings of the IEEE International ASIC/SOC Conference
, pp. 53-57
-
-
Tang, K.T.1
Friedman, E.G.2
-
21
-
-
0036705047
-
Simultaneous switching noise in on-chip CMOS power distribution networks
-
August
-
K. T. Tang and E. G. Friedman, "Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 4, pp. 487-493, August 2002.
-
(2002)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.10
, Issue.4
, pp. 487-493
-
-
Tang, K.T.1
Friedman, E.G.2
-
23
-
-
0031617782
-
Power distribution in high-performance design
-
August
-
M. Benoit, S. Taylor, D. Overhauser, and S. Rochel, "Power Distribution in High-Performance Design", Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 274-278, August 1998.
-
(1998)
Proceedings of the IEEE International Symposium on Low Power Electronics and Design
, pp. 274-278
-
-
Benoit, M.1
Taylor, S.2
Overhauser, D.3
Rochel, S.4
-
26
-
-
33646412351
-
Decoupling capacitors for multi-voltage power distribution systems
-
March
-
M. Popovich and E. G. Friedman, "Decoupling Capacitors for Multi-Voltage Power Distribution Systems", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, No. 3, pp. 217-228, March 2006.
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.3
, pp. 217-228
-
-
Popovich, M.1
Friedman, E.G.2
-
29
-
-
0034507990
-
Delay uncertainty due to on-chip simultaneous switching noise in high performance CMOS integrated circuits
-
October
-
K. T. Tang and E. G. Friedman, "Delay Uncertainty Due to On-Chip Simultaneous Switching Noise in High Performance CMOS Integrated Circuits", Proceedings of the IEEE Workshop on Signal Processing Systems, pp. 633-642, October 2000.
-
(2000)
Proceedings of the IEEE Workshop on Signal Processing Systems
, pp. 633-642
-
-
Tang, K.T.1
Friedman, E.G.2
-
30
-
-
0036606373
-
Incorporating voltage fluctuations of the power distribution network into the transient analysis of CMOS logic gates
-
June
-
K. T. Tang and E. G. Friedman, "Incorporating Voltage Fluctuations of the Power Distribution Network into the Transient Analysis of CMOS Logic Gates", Analog Integrated Circuits and Signal Processing, Vol. 31, No. 3, pp. 249-259, June 2002.
-
(2002)
Analog Integrated Circuits and Signal Processing
, vol.31
, Issue.3
, pp. 249-259
-
-
Tang, K.T.1
Friedman, E.G.2
-
32
-
-
2442482721
-
Impact of power-supply noise on timing in high-frequency microprocessors
-
February
-
M. Saint-Laurent and M. Swaminathan, "Impact of Power-Supply Noise on Timing in High-Frequency Microprocessors", IEEE Transactions on Advanced Packaging, Vol. 27, No. 1, pp. 135-144, February 2004.
-
(2004)
IEEE Transactions on Advanced Packaging
, vol.27
, Issue.1
, pp. 135-144
-
-
Saint-Laurent, M.1
Swaminathan, M.2
-
38
-
-
84889279030
-
-
John Wiley & Sons, Inc., New York, New York
-
A. W. Strong et al., Reliability Wearout Mechanisms in Advanced CMOS Technologies, John Wiley & Sons, Inc., New York, New York, 2006.
-
(2006)
Reliability Wearout Mechanisms in Advanced CMOS Technologies
-
-
Strong, A.W.1
-
40
-
-
0004179874
-
-
John Wiley & Sons, Inc., New York, New York
-
J. D. Jackson, Classical Electrodynamics, John Wiley & Sons, Inc., New York, New York, 1975.
-
(1975)
Classical Electrodynamics
-
-
Jackson, J.D.1
-
41
-
-
0003851263
-
-
D. Van Nostrand Company, Inc., New York, New York
-
F. W. Grover, Inductance Calculations: Working Formulas and Tables, D. Van Nostrand Company, Inc., New York, New York, 1946.
-
(1946)
Inductance Calculations: Working Formulas and Tables
-
-
Grover, F.W.1
-
42
-
-
0001032562
-
Inductance calculations in a complex integrated circuit environment
-
September
-
A. E. Ruehli, "Inductance Calculations in a Complex Integrated Circuit Environment", IBM Journal of Research and Development, Vol. 16, No. 5, pp. 470-481, September 1972.
-
(1972)
IBM Journal of Research and Development
, vol.16
, Issue.5
, pp. 470-481
-
-
Ruehli, A.E.1
-
43
-
-
0001691745
-
The self and mutual inductance of linear conductors
-
Government Printing Office, Washington, D. C., January
-
E. B. Rosa, "The Self and Mutual Inductance of Linear Conductors", Bulletin of the National Bureau of Standards, Vol. 4, No. 2, pp. 301-344. Government Printing Office, Washington, D. C., January 1908.
-
(1908)
Bulletin of the National Bureau of Standards
, vol.4
, Issue.2
, pp. 301-344
-
-
Rosa, E.B.1
-
44
-
-
0013409825
-
Formulæ and tables for the calculation of mutual and self-inductance
-
Government Printing Office, Washington, August
-
E. B. Rosa and L. Cohen, "Formulæ and Tables for the Calculation of Mutual and Self-Inductance", Bulletin of the National Bureau of Standards, Vol. 5, No. 1, pp. 1-132. Government Printing Office, Washington, August 1908.
-
(1908)
Bulletin of the National Bureau of Standards
, vol.5
, Issue.1
, pp. 1-132
-
-
Rosa, E.B.1
Cohen, L.2
-
45
-
-
0013403462
-
Formulæ and Tables for the Calculation of Mutual and Self-Inductance
-
Government Printing Office, Washington, August
-
E. B. Rosa and F. W. Grover, "Formulæ and Tables for the Calculation of Mutual and Self-Inductance", Bulletin of the National Bureau of Standards, Vol. 8, No. 1, pp. 1-237. Government Printing Office, Washington, August 1912.
-
(1912)
Bulletin of the National Bureau of Standards
, vol.8
, Issue.1
, pp. 1-237
-
-
Rosa, E.B.1
Grover, F.W.2
-
46
-
-
0025467964
-
Effect of an image plane on printed circuit board radiation
-
August
-
R. F. German, H. W. Ott, and C. R. Paul, "Effect of an Image Plane on Printed Circuit Board Radiation", Proceedings of the IEEE International Symposium on Electromagnetic Compatibility, pp. 284-291, August 1990.
-
(1990)
Proceedings of the IEEE International Symposium on Electromagnetic Compatibility
, pp. 284-291
-
-
German, R.F.1
Ott, H.W.2
Paul, C.R.3
-
48
-
-
0003989985
-
-
John Wiley & Sons, Inc., New York, New York
-
C. R. Paul, Introduction to Electromagnetic Compatibility, John Wiley & Sons, Inc., New York, New York, 1992.
-
(1992)
Introduction to Electromagnetic Compatibility
-
-
Paul, C.R.1
-
50
-
-
0032206398
-
Clocking design and analysis for a 600-MHz alpha microprocessor
-
November
-
D. W. Bailey and B. J. Benschneider, "Clocking Design and Analysis for a 600-MHz Alpha Microprocessor", IEEE Journal of Solid-State Circuits, Vol. 33, No. 11, pp. 1627-1633, November 1998.
-
(1998)
IEEE Journal of Solid-state Circuits
, vol.33
, Issue.11
, pp. 1627-1633
-
-
Bailey, D.W.1
Benschneider, B.J.2
-
51
-
-
0033349830
-
Chip integration methodology for the IBM S/390 G5 and G6 custom microprocessors
-
September/November
-
R. M. Averill III et al., "Chip Integration Methodology for the IBM S/390 G5 and G6 Custom Microprocessors", IBM Journal of Research and Development, Vol. 43, No. 5/6, pp. 681-706, September/November 1999.
-
(1999)
IBM Journal of Research and Development
, vol.43
, Issue.5-6
, pp. 681-706
-
-
Averill III, R.M.1
-
52
-
-
84935409526
-
Formulas for the skin effect
-
September
-
H. A. Wheeler, "Formulas for the Skin Effect", Proceedings of the IRE, pp. 412-424, September 1942.
-
(1942)
Proceedings of the IRE
, pp. 412-424
-
-
Wheeler, H.A.1
-
53
-
-
0020151901
-
Time-domain skin-effect model for transient analysis of lossy transmission lines
-
July
-
C.-S. Yen, Z. Fazarinc, and R. L. Wheeler, "Time-Domain Skin-Effect Model for Transient Analysis of Lossy Transmission Lines", Proceedings of the IEEE, Vol. 70, No. 7, pp. 750-757, July 1982.
-
(1982)
Proceedings of the IEEE
, vol.70
, Issue.7
, pp. 750-757
-
-
Yen, C.-S.1
Fazarinc, Z.2
Wheeler, R.L.3
-
54
-
-
0025494638
-
New skin-effect equivalent circuit
-
September 13
-
T. V. Dinh, B. Cabon, and J. Chilo, "New Skin-Effect Equivalent Circuit", Electronic Letters, Vol. 26, No. 19, pp. 1582-1584, September 13, 1990.
-
(1990)
Electronic Letters
, vol.26
, Issue.19
, pp. 1582-1584
-
-
Dinh, T.V.1
Cabon, B.2
Chilo, J.3
-
56
-
-
0031622874
-
Layout based frequency dependent inductance and resistance extraction for on-chip interconnect timing analysis
-
June
-
B. Krauter and S. Mehrotra, "Layout Based Frequency Dependent Inductance and Resistance Extraction for On-Chip Interconnect Timing Analysis", Proceedings of the IEEE/ACM Design Automation Conference, pp. 303-308, June 1998.
-
(1998)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 303-308
-
-
Krauter, B.1
Mehrotra, S.2
-
57
-
-
0037002419
-
A comprehensive 2-D inductance modeling approach for VLSI interconnects: Frequency-dependent extraction and compact model synthesis
-
December
-
G. V. Kopcsay et al., "A Comprehensive 2-D Inductance Modeling Approach for VLSI Interconnects: Frequency-Dependent Extraction and Compact Model Synthesis", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 6, pp. 695-711, December 2002.
-
(2002)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.10
, Issue.6
, pp. 695-711
-
-
Kopcsay, G.V.1
-
58
-
-
0042134902
-
Efficient model order reduction including skin effect
-
June
-
S. Mei, C. Amin, and Y. I. Ismail, "Efficient Model Order Reduction Including Skin Effect", Proceedings of the IEEE/ACM Design Automation Conference, pp. 232-237, June 2003.
-
(2003)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 232-237
-
-
Mei, S.1
Amin, C.2
Ismail, Y.I.3
-
59
-
-
0031246188
-
When are transmission-line effects important for on-chip interconnections?
-
October
-
A. Deutsch et al., "When Are Transmission-Line Effects Important for On-Chip Interconnections?", IEEE Transactions on Microwave Theory and Techniques, Vol. 45, No. 10, pp. 1836-1846, October 1997.
-
(1997)
IEEE Transactions on Microwave Theory and Techniques
, vol.45
, Issue.10
, pp. 1836-1846
-
-
Deutsch, A.1
-
60
-
-
0033279861
-
Figures of merit to characterize the importance of on-chip inductance
-
December
-
Y. I. Ismail, E. G. Friedman, and J. L. Neves, "Figures of Merit to Characterize the Importance of On-Chip Inductance", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 7, No. 4, pp. 442-449, December 1999.
-
(1999)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.7
, Issue.4
, pp. 442-449
-
-
Ismail, Y.I.1
Friedman, E.G.2
Neves, J.L.3
-
64
-
-
0030645057
-
SPIE: Sparse partial inductance extraction
-
June
-
Z. He, M. Celik, and L. T. Pileggi, "SPIE: Sparse Partial Inductance Extraction", Proceedings of the IEEE/ACM Design Automation Conference, pp. 137-140, June 1997.
-
(1997)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 137-140
-
-
He, Z.1
Celik, M.2
Pileggi, L.T.3
-
67
-
-
0028498583
-
FastHenry: A multipole-accelerated 3-D inductance extraction program
-
September
-
M. Kamon, M. J. Tsuk, and J. White, "FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program", IEEE Transactions on Microwave Theory and Techniques, Vol. 42, No. 9, pp. 1750-1758, September 1994.
-
(1994)
IEEE Transactions on Microwave Theory and Techniques
, vol.42
, Issue.9
, pp. 1750-1758
-
-
Kamon, M.1
Tsuk, M.J.2
White, J.3
-
70
-
-
0036999740
-
Inductive properties of high-performance power distribution grids
-
December
-
A. V. Mezhiba and E. G. Friedman, "Inductive Properties of High-Performance Power Distribution Grids", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 6, pp. 762-776, December 2002.
-
(2002)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.10
, Issue.6
, pp. 762-776
-
-
Mezhiba, A.V.1
Friedman, E.G.2
-
71
-
-
84891419316
-
-
Chapter XIII, The Clarendon Press, Oxford, United Kingdom, 2nd Edition
-
J. M. Maxwell, A Treatise on Electricity and Magnetism, Vol. 2, Part IV, Chapter XIII, The Clarendon Press, Oxford, United Kingdom, 2nd Edition, 1881.
-
(1881)
A Treatise on Electricity and Magnetism
, vol.2
, Issue.PART IV
-
-
Maxwell, J.M.1
-
72
-
-
84882388370
-
Electromigration reliability
-
Chandrakasan, Bowhill, and Fox, Eds., Chapter 20, IEEE Press, New York, New York
-
J. J. Clement, "Electromigration Reliability", Design of High-Performance Microprocessor Circuits, Chandrakasan, Bowhill, and Fox, (Eds.), Chapter 20, pp. 429-448, IEEE Press, New York, New York, 2001.
-
(2001)
Design of High-performance Microprocessor Circuits
, pp. 429-448
-
-
Clement, J.J.1
-
75
-
-
0015142451
-
Electromigration and failure in electronics: An introduction
-
October
-
F. M. D'Heurle, "Electromigration and Failure in Electronics: an Introduction", Proceedings of the IEEE, Vol. 59, No. 10, pp. 1409-1417, October 1971.
-
(1971)
Proceedings of the IEEE
, vol.59
, Issue.10
, pp. 1409-1417
-
-
D'Heurle, F.M.1
-
76
-
-
0029333576
-
Electromigration and Stress-Induced Voiding in Fine Al and Al-alloy Thin-Film Lines
-
July
-
C.-K. Hu et al., "Electromigration and Stress-Induced Voiding in Fine Al and Al-alloy Thin-Film Lines", IBM Journal of Research and Development, Vol. 39, No. 4, pp. 465-497, July 1995.
-
(1995)
IBM Journal of Research and Development
, vol.39
, Issue.4
, pp. 465-497
-
-
Hu, C.-K.1
-
77
-
-
0014789535
-
Electromigration damage in aluminum film conductors
-
May
-
M. J. Attardo and R. Rosenberg, "Electromigration Damage in Aluminum Film Conductors", Journal of Applied Physics, Vol. 41, No. 5, pp. 2381-2386, May 1970.
-
(1970)
Journal of Applied Physics
, vol.41
, Issue.5
, pp. 2381-2386
-
-
Attardo, M.J.1
Rosenberg, R.2
-
78
-
-
0024863689
-
Stress-driven diffusive voiding of aluminum conductor lines
-
April
-
F. G. Yost, D. E. Amos, and A. D. Romig, Jr., "Stress-Driven Diffusive Voiding of Aluminum Conductor Lines", Proceedings of the IEEE International Reliability Physics Symposium, pp. 193-201, April 1989.
-
(1989)
Proceedings of the IEEE International Reliability Physics Symposium
, pp. 193-201
-
-
Yost, F.G.1
Amos, D.E.2
Romig Jr., A.D.3
-
79
-
-
0000654704
-
Measurements of stress gradients generated by electromigration
-
April
-
I. A. Blech and K. L. Tai, "Measurements of Stress Gradients Generated by Electromigration", Applied Physics Letters, Vol. 30, No. 8, pp. 387-389, April 1977.
-
(1977)
Applied Physics Letters
, vol.30
, Issue.8
, pp. 387-389
-
-
Blech, I.A.1
Tai, K.L.2
-
80
-
-
0016940795
-
Electromigration in thin aluminum films on titanium nitride
-
April
-
I. A. Blech, "Electromigration in Thin Aluminum Films on Titanium Nitride", Journal of Applied Physics, Vol. 47, No. 4, pp. 1203-1208, April 1976.
-
(1976)
Journal of Applied Physics
, vol.47
, Issue.4
, pp. 1203-1208
-
-
Blech, I.A.1
-
81
-
-
0001394880
-
The effect of current density and stripe length on resistance saturation during electromigration testing
-
October
-
R. G. Filippi et al., "The Effect of Current Density and Stripe Length on Resistance Saturation During Electromigration Testing", Applied Physics Letters, Vol. 69, No. 16, pp. 2350-2352, October 1996.
-
(1996)
Applied Physics Letters
, vol.69
, Issue.16
, pp. 2350-2352
-
-
Filippi, R.G.1
-
82
-
-
1542652147
-
Stress evolution during stress migration and electromigration in passivated interconnect lines
-
P. Børgesen et al., "Stress Evolution During Stress Migration and Electromigration in Passivated Interconnect Lines", Proceedings of the American Institute of Physics Conference, Vol. 305, pp. 231-253, 1994.
-
(1994)
Proceedings of the American Institute of Physics Conference
, vol.305
, pp. 231-253
-
-
Børgesen, P.1
-
83
-
-
0029538394
-
Failure in tungsten-filled via structures
-
J. J. Clement, J. R. Lloyd, and C. V. Thompson, "Failure in Tungsten-Filled Via Structures", Proceedings of the Materials Research Society, Vol. 391, pp. 423-428, 1995.
-
(1995)
Proceedings of the Materials Research Society
, vol.391
, pp. 423-428
-
-
Clement, J.J.1
Lloyd, J.R.2
Thompson, C.V.3
-
84
-
-
0014838081
-
Dependence of electromigration-induced failure time on length and width of aluminum thin film conductors
-
September
-
B. N. Argarwala, M. J. Attardo, and A. J. Ingraham, "Dependence of Electromigration-Induced Failure Time on Length and Width of Aluminum Thin Film Conductors", Journal of Applied Physics, Vol. 41, pp. 3954-3960, September 1970.
-
(1970)
Journal of Applied Physics
, vol.41
, pp. 3954-3960
-
-
Argarwala, B.N.1
Attardo, M.J.2
Ingraham, A.J.3
-
85
-
-
0000722238
-
Grain size dependence of electromigration-induced failures in narrow interconnects
-
June 19
-
J. Cho and C. V. Thompson, "Grain Size Dependence of Electromigration-Induced Failures in Narrow Interconnects", Applied Physics Letters, Vol. 54, No. 25, pp. 2577-2579, June 19, 1989.
-
(1989)
Applied Physics Letters
, vol.54
, Issue.25
, pp. 2577-2579
-
-
Cho, J.1
Thompson, C.V.2
-
86
-
-
84937650904
-
Electromigration - A brief survey and some recent results
-
April
-
J. R. Black, "Electromigration - A Brief Survey and Some Recent Results", IEEE Transactions on Electron Devices, Vol. 4, No. 16, pp. 338-347, April 1969.
-
(1969)
IEEE Transactions on Electron Devices
, vol.4
, Issue.16
, pp. 338-347
-
-
Black, J.R.1
-
87
-
-
33747963425
-
Electromigration modeling for integrated circuit interconnect relibility analysis
-
March
-
J. J. Clement, "Electromigration Modeling for Integrated Circuit Interconnect Relibility Analysis", IEEE Transactions on Device and Materials Reliability, Vol. 1, No. 1, pp. 33-42, March 2001.
-
(2001)
IEEE Transactions on Device and Materials Reliability
, vol.1
, Issue.1
, pp. 33-42
-
-
Clement, J.J.1
-
89
-
-
0024865572
-
Characterization of Electromigration under Bidirectional (BC) and Pulsed Unidirectional (PDC) Currents
-
April
-
J. A. Maiz, "Characterization of Electromigration under Bidirectional (BC) and Pulsed Unidirectional (PDC) Currents", Proceedings of the IEEE International Reliability Physics Symposium, pp. 220-228, April 1989.
-
(1989)
Proceedings of the IEEE International Reliability Physics Symposium
, pp. 220-228
-
-
Maiz, J.A.1
-
90
-
-
0027187019
-
ACElectromigration characterization and modeling of multilayered interconnects
-
March
-
L. M. Ting, J. S. May, W. R. Hunter, and J. W. McPherson, "ACElectromigration Characterization and Modeling of Multilayered Interconnects", Proceedings of the IEEE International Reliability Physics Symposium, pp. 311-316, March 1993.
-
(1993)
Proceedings of the IEEE International Reliability Physics Symposium
, pp. 311-316
-
-
Ting, L.M.1
May, J.S.2
Hunter, W.R.3
McPherson, J.W.4
-
91
-
-
0036892397
-
Electromigration reliability issues in dual-damascene cu interconnections
-
December
-
E. T. Ogawa, K.-D. Lee, V. A. Blaschke, and P. S. Ho, "Electromigration Reliability Issues in Dual-Damascene Cu Interconnections", IEEE Transactions on Reliability, Vol. 51, No. 4, pp. 403-419, December 2002.
-
(2002)
IEEE Transactions on Reliability
, vol.51
, Issue.4
, pp. 403-419
-
-
Ogawa, E.T.1
Lee, K.-D.2
Blaschke, V.A.3
Ho, P.S.4
-
93
-
-
39749197238
-
Electromigration threshold in single-damascene copper interconnects with SiO2 Dielectrics
-
June
-
P. C. Wang, R. G. Filippi, and L. M. Gignac, "Electromigration Threshold in Single-Damascene Copper Interconnects with SiO2 Dielectrics", Proceedings of the IEEE International Interconnect Technology Conference, pp. 263-265, June 2001.
-
(2001)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 263-265
-
-
Wang, P.C.1
Filippi, R.G.2
Gignac, L.M.3
-
94
-
-
0035971713
-
Direct observation of a critical length effect in dual-damascene Cu/oxide interconnects
-
April
-
E. T. Ogawa, "Direct Observation of a Critical Length Effect in Dual-Damascene Cu/Oxide Interconnects", Applied Physics Letters, Vol. 78, No. 18, pp. 2652-2654, April 2001.
-
(2001)
Applied Physics Letters
, vol.78
, Issue.18
, pp. 2652-2654
-
-
Ogawa, E.T.1
-
95
-
-
0037084301
-
Probabilistic immortality of Cu damascene interconnects
-
February
-
S. P. Hau-Riege, "Probabilistic Immortality of Cu Damascene Interconnects", Journal of Applied Physics, Vol. 91, No. 4, pp. 2014-2022, February 2002.
-
(2002)
Journal of Applied Physics
, vol.91
, Issue.4
, pp. 2014-2022
-
-
Hau-Riege, S.P.1
-
97
-
-
84955324396
-
Line depletion electromigration characteristics of Cu interconnects
-
March
-
B. Li, T. D. Sullivan, and T. C. Lee, "Line Depletion Electromigration Characteristics of Cu Interconnects", Proceedings of the IEEE International Reliability Physics Symposium, pp. 140-145, March 2003.
-
(2003)
Proceedings of the IEEE International Reliability Physics Symposium
, pp. 140-145
-
-
Li, B.1
Sullivan, T.D.2
Lee, T.C.3
-
98
-
-
84962791672
-
Electromigration in multi-level interconnects with polymeric low-k interlevel dielectrics
-
June
-
P. Justison et al., "Electromigration in Multi-Level Interconnects with Polymeric Low-k Interlevel Dielectrics", Proceedings of the IEEE International Interconnect Technology Conference, pp. 202-204, June 2000.
-
(2000)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 202-204
-
-
Justison, P.1
-
100
-
-
84955249439
-
The Effect of Low-k ILD on the electromigration reliability of Cu interconnects with different line lengths
-
March
-
C. S. Hau-Riege, A. P. Marathe, and V. Pham, "The Effect of Low-k ILD on the Electromigration Reliability of Cu Interconnects with Different Line Lengths", Proceedings of the IEEE International Reliability Physics Symposium, pp. 173-177, March 2003.
-
(2003)
Proceedings of the IEEE International Reliability Physics Symposium
, pp. 173-177
-
-
Hau-Riege, C.S.1
Marathe, A.P.2
Pham, V.3
-
101
-
-
78751556896
-
Statistics of electromigration early failures in Cu/oxide dual damascene interconnects
-
March
-
E. T. Ogawa et al., "Statistics of Electromigration Early Failures in Cu/Oxide Dual Damascene Interconnects", Proceedings of the IEEE International Reliability Physics Symposium, pp. 341-349, March 2001.
-
(2001)
Proceedings of the IEEE International Reliability Physics Symposium
, pp. 341-349
-
-
Ogawa, E.T.1
-
102
-
-
0029547643
-
Statistical electromigration budgeting for reliable design and verification in a 300-MHz microprocessor
-
June
-
F. G. Yost, D. E. Amos, and A. D. Romig, Jr., "Statistical Electromigration Budgeting for Reliable Design and Verification in a 300-MHz Microprocessor", Proceedings of the IEEE Symposium on VLSI Circuits, pp. 115-116, June 1995.
-
(1995)
Proceedings of the IEEE Symposium on VLSI Circuits
, pp. 115-116
-
-
Yost, F.G.1
Amos, D.E.2
Romig Jr., A.D.3
-
103
-
-
0003860827
-
-
Chapman & Hall, New York, New York
-
R. R. Tummala, E. J. Rymaszewski, and A. G. Klopfenstein, (Eds.), Microelectronics Packaging Handbook, Chapman & Hall, New York, New York, 1997.
-
(1997)
Microelectronics Packaging Handbook
-
-
Tummala, R.R.1
Rymaszewski, E.J.2
Klopfenstein, A.G.3
-
104
-
-
0028539401
-
Modeling and measurement of a high-performance computer power distribution system
-
November
-
R. Evans and M. Tsuk, "Modeling and Measurement of a High-Performance Computer Power Distribution System", IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol. 17, No. 4, pp. 467-471, November 1994.
-
(1994)
IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging
, vol.17
, Issue.4
, pp. 467-471
-
-
Evans, R.1
Tsuk, M.2
-
105
-
-
0033349073
-
Modeling of power distribution systems for high-performance processors
-
August
-
D. J. Herrell and B. Beker, "Modeling of Power Distribution Systems for High-Performance Processors", IEEE Transactions on Advanced Packaging, Vol. 22, No. 3, pp. 240-248, August 1999.
-
(1999)
IEEE Transactions on Advanced Packaging
, vol.22
, Issue.3
, pp. 240-248
-
-
Herrell, D.J.1
Beker, B.2
-
107
-
-
0033343078
-
Power distribution system design methodology and capacitor selection for modern CMOS technology
-
August
-
L. D. Smith et al., "Power Distribution System Design Methodology and Capacitor Selection for Modern CMOS Technology", IEEE Transactions on Advanced Packaging, Vol. 22, No. 3, pp. 284-291, August 1999.
-
(1999)
IEEE Transactions on Advanced Packaging
, vol.22
, Issue.3
, pp. 284-291
-
-
Smith, L.D.1
-
108
-
-
0035422108
-
Resonant free power network design using extended adaptive voltage positioning (EAVP) Methodology
-
August
-
A. Waizman and C.-Y. Chung, "Resonant Free Power Network Design Using Extended Adaptive Voltage Positioning (EAVP) Methodology", IEEE Transactions on Advanced Packaging, Vol. 24, No. 3, pp. 236-244, August 2001.
-
(2001)
IEEE Transactions on Advanced Packaging
, vol.24
, Issue.3
, pp. 236-244
-
-
Waizman, A.1
Chung, C.-Y.2
-
109
-
-
0036589469
-
Distributed matched bypassing for board-level power distribution networks
-
May
-
I. Novak et al., "Distributed Matched Bypassing for Board-Level Power Distribution Networks", IEEE Transactions on Advanced Packaging, Vol. 25, No. 2, pp. 230-242, May 2002.
-
(2002)
IEEE Transactions on Advanced Packaging
, vol.25
, Issue.2
, pp. 230-242
-
-
Novak, I.1
-
110
-
-
0035701745
-
An approach to measuring power supply impedance of microprocessors
-
October
-
G. F. Taylor, C. Deutschle, T. Arabi, and B. Owens, "An Approach to Measuring Power Supply Impedance of Microprocessors", Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging, pp. 211-214, October 2001.
-
(2001)
Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging
, pp. 211-214
-
-
Taylor, G.F.1
Deutschle, C.2
Arabi, T.3
Owens, B.4
-
112
-
-
0036296685
-
High performance mobile pentium iii package development and design
-
June
-
A. Hasan, A. Sarangi, A. Sathe, and G. Ji, "High Performance Mobile Pentium III Package Development and Design", Proceedings of the IEEE Electronic Components and Technology Conference, pp. 378-385, June 2002.
-
(2002)
Proceedings of the IEEE Electronic Components and Technology Conference
, pp. 378-385
-
-
Hasan, A.1
Sarangi, A.2
Sathe, A.3
Ji, G.4
-
113
-
-
0034238542
-
Lossy power distribution networks with thin dielectric layers and/or thin conductive layers
-
August
-
I. Novak, "Lossy Power Distribution Networks with Thin Dielectric Layers and/or Thin Conductive Layers", IEEE Transactions on Advanced Packaging, Vol. 23, No. 3, pp. 353-360, August 2000.
-
(2000)
IEEE Transactions on Advanced Packaging
, vol.23
, Issue.3
, pp. 353-360
-
-
Novak, I.1
-
115
-
-
0036059618
-
Macro-modeling concepts for the chip electrical interface
-
June
-
B. W. Amick, C. R. Gauthier, and D. Liu, "Macro-Modeling Concepts for the Chip Electrical Interface", Proceedings of the IEEE/ACM Design Automation Conference, pp. 391-394, June 2002.
-
(2002)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 391-394
-
-
Amick, B.W.1
Gauthier, C.R.2
Liu, D.3
-
116
-
-
0013079142
-
-
WWNorton & Co., Inc., New York, New York
-
G. E. R. Lloyd, Early Greek Science: Thales to Aristotle, WWNorton & Co., Inc., New York, New York, 1974.
-
(1974)
Early Greek Science: Thales to Aristotle
-
-
Lloyd, G.E.R.1
-
117
-
-
0004270298
-
-
John Wiley & Sons, Inc., New York, New York
-
A. D. Moore, Electrostatics and Its Applications, John Wiley & Sons, Inc., New York, New York, 1973.
-
(1973)
Electrostatics and its Applications
-
-
Moore, A.D.1
-
121
-
-
0004227855
-
-
6th Edition, John Wiley & Sons, Inc., New York, New York
-
J. D. Cutnell and K. W. Johnson, Physics, 6th Edition, John Wiley & Sons, Inc., New York, New York, 2003.
-
(2003)
Physics
-
-
Cutnell, J.D.1
Johnson, K.W.2
-
122
-
-
0003464062
-
-
2nd Edition, Cambridge University Press, New York, New York
-
T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd Edition, Cambridge University Press, New York, New York, 2004.
-
(2004)
The Design of CMOS Radio-frequency Integrated Circuits
-
-
Lee, T.H.1
-
123
-
-
0020276069
-
A simple formula for the estimation of the capacitance of two-dimensional interconnects in VLSI circuits
-
December
-
C. P. Yuan and T. N. Trick, "A Simple Formula for the Estimation of the Capacitance of Two-Dimensional Interconnects in VLSI Circuits", IEEE Electron Device Letters, Vol. 3, No. 12, pp. 391-393, December 1982.
-
(1982)
IEEE Electron Device Letters
, vol.3
, Issue.12
, pp. 391-393
-
-
Yuan, C.P.1
Trick, T.N.2
-
124
-
-
0020704286
-
Simple formulas for two-and three-dimensional capacitance
-
February
-
T. Sakurai and K. Tamaru, "Simple Formulas for Two-and Three-Dimensional Capacitance", IEEE Transactions on Electron Devices, Vol. 30, No. 2, pp. 183-185, February 1983.
-
(1983)
IEEE Transactions on Electron Devices
, vol.30
, Issue.2
, pp. 183-185
-
-
Sakurai, T.1
Tamaru, K.2
-
125
-
-
0026626371
-
Multilevel metal capacitance models for CAD design synthesis systems
-
January
-
J.-H. Chern et al., "Multilevel Metal Capacitance Models for CAD Design Synthesis Systems", IEEE Electron Device Letters, Vol. 13, No. 1, pp. 32-34, January 1992.
-
(1992)
IEEE Electron Device Letters
, vol.13
, Issue.1
, pp. 32-34
-
-
Chern, J.-H.1
-
126
-
-
0033873392
-
Modeling of interconnect capacitance, delay, and crosstalk in VLSI
-
January
-
S.-C. Wong, G.-Y. Lee, and D.-J. Ma, "Modeling of Interconnect Capacitance, Delay, and Crosstalk in VLSI", IEEE Transactions on Semiconductor Manufacturing, Vol. 13, No. 1, pp. 108-111, January 2000.
-
(2000)
IEEE Transactions on Semiconductor Manufacturing
, vol.13
, Issue.1
, pp. 108-111
-
-
Wong, S.-C.1
Lee, G.-Y.2
Ma, D.-J.3
-
127
-
-
0023963696
-
Line-to-ground capacitance calculation for VLSI: A comparison
-
February
-
E. Barke, "Line-to-Ground Capacitance Calculation for VLSI: A Comparison", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 7, No. 2, pp. 295-298, February 1988.
-
(1988)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.7
, Issue.2
, pp. 295-298
-
-
Barke, E.1
-
128
-
-
0021449264
-
VLSI circuit reconstruction from mask topology
-
February
-
N. V.d. Meijs and J. T. Fokkema, "VLSI Circuit Reconstruction from Mask Topology", Integration, Vol. 2, No. 2, pp. 85-119, February 1984.
-
(1984)
Integration
, vol.2
, Issue.2
, pp. 85-119
-
-
Meijs, N.V.D.1
Fokkema, J.T.2
-
129
-
-
0032287456
-
ESR and ESL of ceramic capacitor applied to decoupling applications
-
October
-
T. Roy, L. Smith, and J. Prymak, "ESR and ESL of Ceramic Capacitor Applied to Decoupling Applications", Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging, pp. 213-216, October 1998.
-
(1998)
Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging
, pp. 213-216
-
-
Roy, T.1
Smith, L.2
Prymak, J.3
-
130
-
-
0003565888
-
-
3rd Edition, McGraw-Hill, New York, New York
-
D. A. Neamen, Semiconductor Physics and Devices: Basic Principles, 3rd Edition, McGraw-Hill, New York, New York, 2002.
-
(2002)
Semiconductor Physics and Devices: Basic Principles
-
-
Neamen, D.A.1
-
131
-
-
84891446996
-
Power Distribution System (PDS) Design: Using bypass/decoupling capacitors
-
"Power Distribution System (PDS) Design: Using Bypass/Decoupling Capacitors", http://direct.xilinx.com/bvdocs/appnotes/xapp623.pdf.
-
Http://direct.xilinx.com/bvdocs/appnotes/xapp623.pdf.
-
-
-
132
-
-
0003479594
-
-
Addison-Wesley, Reading, Massachusetts
-
H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI, Addison-Wesley, Reading, Massachusetts, 1990.
-
(1990)
Circuits, Interconnections, and Packaging for VLSI
-
-
Bakoglu, H.B.1
-
134
-
-
0032072121
-
Modeling, simulation, and measurement of mid-frequency simultaneous switching noise in computer systems
-
May
-
W. D. Becker et al., "Modeling, Simulation, and Measurement of Mid-Frequency Simultaneous Switching Noise in Computer Systems", IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol. 21, No. 2, pp. 157-163, May 1998.
-
(1998)
IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging
, vol.21
, Issue.2
, pp. 157-163
-
-
Becker, W.D.1
-
135
-
-
33845878224
-
On chip circuit model for accurate mid-frequency simultaneous switching noise prediction
-
October
-
T. Zhou, T. Strach, and W. D. Becker, "On Chip Circuit Model for Accurate Mid-Frequency Simultaneous Switching Noise Prediction", Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging, pp. 275-278, October 2005.
-
(2005)
Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging
, pp. 275-278
-
-
Zhou, T.1
Strach, T.2
Becker, W.D.3
-
136
-
-
0035212317
-
IC power distribution challenges
-
November
-
S. Bobba, T. Thorp, K. Aingaran, and D. Liu, "IC Power Distribution Challenges", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 643-650, November 2001.
-
(2001)
Proceedings of the IEEE/ACM International Conference on Computer-aided Design
, pp. 643-650
-
-
Bobba, S.1
Thorp, T.2
Aingaran, K.3
Liu, D.4
-
138
-
-
0035329204
-
Modeling of simultaneous switching noise in high speed systems
-
May
-
S. Chun et al., "Modeling of Simultaneous Switching Noise in High Speed Systems", IEEE Transactions on Advanced Packaging, Vol. 24, No. 2, pp. 132-142, May 2001.
-
(2001)
IEEE Transactions on Advanced Packaging
, vol.24
, Issue.2
, pp. 132-142
-
-
Chun, S.1
-
140
-
-
0031623037
-
Electromagnetic modeling and signal integrity simulations of power/ground networks in high speed digital packages and printed circuit boards
-
June
-
F. Y. Yuan, "Electromagnetic Modeling and Signal Integrity Simulations of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards", Proceedings of the IEEE/ACM Design Automation Conference, pp. 421-426, June 1998.
-
(1998)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 421-426
-
-
Yuan, F.Y.1
-
141
-
-
0035019604
-
Simulation and modeling of power and ground planes in high speed printed circuit boards
-
May
-
Z. Mu, "Simulation and Modeling of Power and Ground Planes in High Speed Printed Circuit Boards", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 459-462, May 2001.
-
(2001)
Proceedings of the IEEE International Symposium on Circuits and Systems
, pp. 459-462
-
-
Mu, Z.1
-
142
-
-
0034239323
-
Modeling and transient simulation of planes in electronic packages
-
August
-
N. Na et al., "Modeling and Transient Simulation of Planes in Electronic Packages", IEEE Transactions on Advanced Packaging, Vol. 23, No. 3, pp. 340-352, August 2000.
-
(2000)
IEEE Transactions on Advanced Packaging
, vol.23
, Issue.3
, pp. 340-352
-
-
Na, N.1
-
143
-
-
0033313259
-
Design and performance evaluation of chip capacitors on microprocessor packaging
-
October
-
T.-G. Yew, Y.-L. Li, C.-Y. Chung, and D. G. Figueroa, "Design and Performance Evaluation of Chip Capacitors on Microprocessor Packaging", Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging, pp. 175-178, October 1999.
-
(1999)
Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging
, pp. 175-178
-
-
Yew, T.-G.1
Li, Y.-L.2
Chung, C.-Y.3
Figueroa, D.G.4
-
144
-
-
0035786826
-
Separated role of on-chip and on-PCB decoupling capacitors for reduction of radiated emission on printed circuit board
-
August
-
J. Kim et al., "Separated Role of On-Chip and On-PCB Decoupling Capacitors for Reduction of Radiated Emission on Printed Circuit Board", Proceedings of the IEEE International Symposium on Electromagnetic Compatibility, pp. 531-536, August 2001.
-
(2001)
Proceedings of the IEEE International Symposium on Electromagnetic Compatibility
, pp. 531-536
-
-
Kim, J.1
-
145
-
-
4043166534
-
Package and chip design optimization for mid-frequency power distribution decoupling
-
October
-
B. Garben, G. A. Katopis, and W. D. Becker, "Package and Chip Design Optimization for Mid-Frequency Power Distribution Decoupling", Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging, pp. 245-248, October 2002.
-
(2002)
Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging
, pp. 245-248
-
-
Garben, B.1
Katopis, G.A.2
Becker, W.D.3
-
146
-
-
0037297131
-
Power-bus decoupling with embedded capacitance in printed circuit board design
-
February
-
M. Xu et al., "Power-Bus Decoupling with Embedded Capacitance in Printed Circuit Board Design", IEEE Transactions on Electromagnetic Compatibility, Vol. 45, No. 1, pp. 22-30, February 2003.
-
(2003)
IEEE Transactions on Electromagnetic Compatibility
, vol.45
, Issue.1
, pp. 22-30
-
-
Xu, M.1
-
147
-
-
0033337645
-
Analysis on loop area trace radiated emissions from decoupling capacitor placement on printed circuit boards
-
August
-
M. I. Montrose, "Analysis on Loop Area Trace Radiated Emissions from Decoupling Capacitor Placement on Printed Circuit Boards", Proceedings of the IEEE International Symposium on Electromagnetic Compatibility, pp. 423-428, August 1999.
-
(1999)
Proceedings of the IEEE International Symposium on Electromagnetic Compatibility
, pp. 423-428
-
-
Montrose, M.I.1
-
149
-
-
0035699395
-
Design oriented analysis of package power distribution system considering target impedance for high performancemicroprocessors
-
October
-
O. P. Mandhana, "Design Oriented Analysis of Package Power Distribution System Considering Target Impedance for High PerformanceMicroprocessors", Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging, pp. 273-276, October 2001.
-
(2001)
Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging
, pp. 273-276
-
-
Mandhana, O.P.1
-
151
-
-
0032139844
-
Resonance and damping in CMOS circuits with on-chip decoupling capacitance
-
August
-
P. Larsson, "Resonance and Damping in CMOS Circuits with On-Chip Decoupling Capacitance", IEEE Transactions on Circuits and Systems-I: Fundamental Theory and Applications, Vol. 45, No. 8, pp. 849-858, August 1998.
-
(1998)
IEEE Transactions on Circuits and Systems-I: Fundamental Theory and Applications
, vol.45
, Issue.8
, pp. 849-858
-
-
Larsson, P.1
-
153
-
-
0026866104
-
Effectiveness of multiple decoupling capacitors
-
May
-
C. R. Paul, "Effectiveness of Multiple Decoupling Capacitors", IEEE Transactions on Electromagnetic Compatibility, Vol. 34, No. 2, pp. 130-133, May 1992.
-
(1992)
IEEE Transactions on Electromagnetic Compatibility
, vol.34
, Issue.2
, pp. 130-133
-
-
Paul, C.R.1
-
156
-
-
33845889724
-
Leveraging symbiotic on-die decoupling capacitance
-
October
-
M. Sotman, M. Popovich, A. Kolodny, and E. G. Friedman, "Leveraging Symbiotic On-Die Decoupling Capacitance", Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging, pp. 111-114, October 2005.
-
(2005)
Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging
, pp. 111-114
-
-
Sotman, M.1
Popovich, M.2
Kolodny, A.3
Friedman, E.G.4
-
157
-
-
0032136312
-
Interconnect and circuit modeling techniques for full-chip power supply noise analysis
-
August
-
H. H. Chen and J. S. Neely, "Interconnect and Circuit Modeling Techniques for Full-Chip Power Supply Noise Analysis", IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol. 21, No. 3, pp. 209-215, August 1998.
-
(1998)
IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging
, vol.21
, Issue.3
, pp. 209-215
-
-
Chen, H.H.1
Neely, J.S.2
-
159
-
-
0034878376
-
On the interaction of power distribution network with substrate
-
August
-
R. Panda, S. Sundareswaran, and D. Blaauw, "On the Interaction of Power Distribution Network with Substrate", Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 388-393, August 2001.
-
(2001)
Proceedings of the IEEE International Symposium on Low Power Electronics and Design
, pp. 388-393
-
-
Panda, R.1
Sundareswaran, S.2
Blaauw, D.3
-
160
-
-
0038044547
-
Impact of low-impedance substrate on power supply integrity
-
May/June
-
R. Panda, S. Sundareswaran, and D. Blaauw, "Impact of Low-Impedance Substrate on Power Supply Integrity", IEEE Transactions on Design & Test of Computers, Vol. 20, No. 3, pp. 16-22, May/June 2003.
-
(2003)
IEEE Transactions on Design & Test of Computers
, vol.20
, Issue.3
, pp. 16-22
-
-
Panda, R.1
Sundareswaran, S.2
Blaauw, D.3
-
161
-
-
0031619177
-
Full-chip verification methods for DSM power distribution systems
-
June
-
G. Steele, D. Overhauser, S. Rochel, and S. Z. Hussain, "Full-Chip Verification Methods for DSM Power Distribution Systems", Proceedings of the IEEE/ACM Design Automation Conference, pp. 744-749, June 1998.
-
(1998)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 744-749
-
-
Steele, G.1
Overhauser, D.2
Rochel, S.3
Hussain, S.Z.4
-
163
-
-
16744367908
-
A fully integrated Al2O3 trench capacitor DRAM for sub-100nm technology
-
December
-
H. Seidl et al., "A Fully Integrated Al2O3 Trench Capacitor DRAM for Sub-100nm Technology", Proceedings of the IEEE International Electron Devices Meeting, pp. 839-842, December 2002.
-
(2002)
Proceedings of the IEEE International Electron Devices Meeting
, pp. 839-842
-
-
Seidl, H.1
-
165
-
-
0032071753
-
High-performance microprocessor design
-
May
-
P. E. Gronowski et al., "High-Performance Microprocessor Design", IEEE Journal of Solid-State Circuits, Vol. 33, No. 5, pp. 676-686, May 1998.
-
(1998)
IEEE Journal of Solid-state Circuits
, vol.33
, Issue.5
, pp. 676-686
-
-
Gronowski, P.E.1
-
166
-
-
0029230167
-
Circuit implementation of a 300MHz 64-bit second generation CMOS Alpha CPU
-
B. J. Bowhill et al., "Circuit Implementation of a 300MHz 64-bit Second Generation CMOS Alpha CPU", Digital Technical Journal, Vol. 7, No. 1, pp. 100-118, 1995.
-
(1995)
Digital Technical Journal
, vol.7
, Issue.1
, pp. 100-118
-
-
Bowhill, B.J.1
-
167
-
-
0031118098
-
Parasitic resistance in an MOS transistor used as on-chip decoupling capacitance
-
April
-
P. Larsson, "Parasitic Resistance in an MOS Transistor Used as On-Chip Decoupling Capacitance", IEEE Journal of Solid-State Circuits, Vol. 32, No. 4, pp. 574-576, April 1997.
-
(1997)
IEEE Journal of Solid-state Circuits
, vol.32
, Issue.4
, pp. 574-576
-
-
Larsson, P.1
-
168
-
-
0003987070
-
-
Prentice Hall, Upper Saddle River, New Jersey
-
A. Hastings, The Art of Analog Layout, Prentice Hall, Upper Saddle River, New Jersey, 2001.
-
(2001)
The Art of Analog Layout
-
-
Hastings, A.1
-
169
-
-
0019698649
-
Matching properties, and voltage and temperature dependence of MOS capacitors
-
December
-
J. L. McCreary, "Matching Properties, and Voltage and Temperature Dependence of MOS Capacitors", IEEE Journal of Solid-State Circuits, Vol. 16, No. 6, pp. 608-616, December 1981.
-
(1981)
IEEE Journal of Solid-state Circuits
, vol.16
, Issue.6
, pp. 608-616
-
-
McCreary, J.L.1
-
171
-
-
4444272969
-
High-capacity, self-assembled metal-oxide-semiconductor decoupling capacitors
-
September
-
C. T. Black et al., "High-Capacity, Self-Assembled Metal-Oxide-Semiconductor Decoupling Capacitors", IEEE Electron Device Letters, Vol. 25, No. 9, pp. 622-624, September 2004.
-
(2004)
IEEE Electron Device Letters
, vol.25
, Issue.9
, pp. 622-624
-
-
Black, C.T.1
-
173
-
-
0026938433
-
Harmonic distortion caused by capacitors implemented with MOSFET gates
-
October
-
A. Behr, M. Schneider, S. Filho, and C. Montoro, "Harmonic Distortion Caused by Capacitors Implemented with MOSFET Gates", IEEE Journal of Solid-State Circuits, Vol. 27, No. 10, pp. 1470-1475, October 1992.
-
(1992)
IEEE Journal of Solid-state Circuits
, vol.27
, Issue.10
, pp. 1470-1475
-
-
Behr, A.1
Schneider, M.2
Filho, S.3
Montoro, C.4
-
174
-
-
0242636503
-
A 1.5-GHz 130-nm Itanium 2 Processor with 6-MB On-Die L3 Cache
-
November
-
S. Rusu et al., "A 1.5-GHz 130-nm Itanium 2 Processor with 6-MB On-Die L3 Cache", IEEE Journal of Solid-State Circuits, Vol. 38, No. 11, pp. 1887-1895, November 2003.
-
(2003)
IEEE Journal of Solid-state Circuits
, vol.38
, Issue.11
, pp. 1887-1895
-
-
Rusu, S.1
-
175
-
-
84891457512
-
Optimization of metal-metal comb-capacitors for RF applications
-
"Optimization of Metal-Metal Comb-Capacitors for RF Applications", http://www.oea.com/document/OptimizMetal.pdf.
-
Http://www.oea.com/document/OptimizMetal.pdf.
-
-
-
176
-
-
1842850727
-
-
World Scientific, River Edge, New Jersey
-
M. J. Deen and T. A. Fjeldly, CMOS RF Modeling, Characterization and Applications, World Scientific, River Edge, New Jersey, 2004.
-
(2004)
CMOS RF Modeling, Characterization and Applications
-
-
Deen, M.J.1
Fjeldly, T.A.2
-
177
-
-
0004200915
-
-
Prentice Hall, Upper Saddle River, New Jersey
-
B. Razavi, RF Microelectronics, Prentice Hall, Upper Saddle River, New Jersey, 1998.
-
(1998)
RF Microelectronics
-
-
Razavi, B.1
-
179
-
-
0036540809
-
High-density MIM capacitors using Al2O3 and AlTiOx dielectrics
-
April
-
S. B. Chen et al., "High-Density MIM Capacitors Using Al2O3 and AlTiOx Dielectrics", IEEE Electron Device Letters, Vol. 23, No. 4, pp. 185-187, April 2002.
-
(2002)
IEEE Electron Device Letters
, vol.23
, Issue.4
, pp. 185-187
-
-
Chen, S.B.1
-
180
-
-
0042745683
-
High-density MIM capacitors using AlTaOx dielectrics
-
May
-
M. Y. Yang et al., "High-Density MIM Capacitors Using AlTaOx Dielectrics", IEEE Electron Device Letters, Vol. 24, No. 5, pp. 306-308, May 2003.
-
(2003)
IEEE Electron Device Letters
, vol.24
, Issue.5
, pp. 306-308
-
-
Yang, M.Y.1
-
181
-
-
0037718406
-
A High-Density MIM Capacitor (13 fF/ìm2) Using ALD HfO2 Dielectrics
-
February
-
X. Yu et al., "A High-Density MIM Capacitor (13 fF/ìm2) Using ALD HfO2 Dielectrics", IEEE Electron Device Letters, Vol. 24, No. 2, pp. 63-65, February 2003.
-
(2003)
IEEE Electron Device Letters
, vol.24
, Issue.2
, pp. 63-65
-
-
Yu, X.1
-
182
-
-
84891374643
-
High performance ALD HfO2-Al2O3 Laminate MIM capacitors for RF and mixed signal IC Applications
-
December
-
H. Hu et al., "High Performance ALD HfO2-Al2O3 Laminate MIM Capacitors for RF and Mixed Signal IC Applications", Proceedings of the IEEE International Electron Devices Meeting, pp. 15.6.1-15.6.4, December 2003.
-
(2003)
Proceedings of the IEEE International Electron Devices Meeting
, pp. 1561-1564
-
-
Hu, H.1
-
183
-
-
9144263061
-
High-Density MIM capacitor using ALD High-k HfO2 laminate dielectrics
-
December
-
S.-J. Ding et al., "High-Density MIM Capacitor Using ALD High-k HfO2 Laminate Dielectrics", IEEE Electron Device Letters, Vol. 24, No. 12, pp. 730-732, December 2003.
-
(2003)
IEEE Electron Device Letters
, vol.24
, Issue.12
, pp. 730-732
-
-
Ding, S.-J.1
-
184
-
-
26444438105
-
3 Barriers
-
September
-
3 Barriers", IEEE Electron Device Letters, Vol. 26, No. 9, pp. 625-627, September 2005.
-
(2005)
IEEE Electron Device Letters
, vol.26
, Issue.9
, pp. 625-627
-
-
Kim, S.-J.1
-
185
-
-
0034258860
-
The fabrication of very high resistivity Si with low loss and cross talk
-
September
-
Y. H. Wu et al., "The Fabrication of Very High Resistivity Si with Low Loss and Cross Talk", IEEE Electron Device Letters, Vol. 21, No. 9, pp. 442-444, September 2000.
-
(2000)
IEEE Electron Device Letters
, vol.21
, Issue.9
, pp. 442-444
-
-
Wu, Y.H.1
-
186
-
-
36749071221
-
High density metal insulator metal capacitors using PECVD nitride for mixed signal and RF circuits
-
May
-
A. Kar-Roy et al., "High Density Metal Insulator Metal Capacitors Using PECVD Nitride for Mixed Signal and RF Circuits", Proceedings of the IEEE International Conference on Interconnect Technology, pp. 245-247, May 1999.
-
(1999)
Proceedings of the IEEE International Conference on Interconnect Technology
, pp. 245-247
-
-
Kar-Roy, A.1
-
187
-
-
0035339020
-
Analog characteristics of metal-insulator-metal capacitors using PECVD nitride dielectrics
-
May
-
J. A. Babcock et al., "Analog Characteristics of Metal-Insulator-Metal Capacitors Using PECVD Nitride Dielectrics", IEEE Electron Device Letters, Vol. 22, No. 5, pp. 230-232, May 2001.
-
(2001)
IEEE Electron Device Letters
, vol.22
, Issue.5
, pp. 230-232
-
-
Babcock, J.A.1
-
188
-
-
0345815430
-
Integration of thin film MIM capacitors and resistors into copper metallization based RF-CMOS and Bi-CMOS technologies
-
December
-
P. Zurcher et al., "Integration of Thin Film MIM Capacitors and Resistors into Copper Metallization Based RF-CMOS and Bi-CMOS Technologies", Proceedings of the IEEE International Electron Devices Meeting, pp. 153-156, December 2000.
-
(2000)
Proceedings of the IEEE International Electron Devices Meeting
, pp. 153-156
-
-
Zurcher, P.1
-
189
-
-
0034454864
-
A High Reliability Metal Insulator Metal Capacitor for 0.18 ìm Copper Technology
-
December
-
M. Armacost et al., "A High Reliability Metal Insulator Metal Capacitor for 0.18 ìm Copper Technology", Proceedings of the IEEE International Electron Devices Meeting, pp. 157-160, December 2000.
-
(2000)
Proceedings of the IEEE International Electron Devices Meeting
, pp. 157-160
-
-
Armacost, M.1
-
190
-
-
0036932191
-
Characterization and comparison of two metal-insulator-metal capacitor schemes in 0.13 ìm copper dual damascene metallization process for mixed-mode and RF applications
-
December
-
C. H. Ng et al., "Characterization and Comparison of Two Metal-Insulator-Metal Capacitor Schemes in 0.13 ìm Copper Dual Damascene Metallization Process for Mixed-Mode and RF Applications", Proceedings of the IEEE International Electron Devices Meeting, pp. 241-244, December 2002.
-
(2002)
Proceedings of the IEEE International Electron Devices Meeting
, pp. 241-244
-
-
Ng, C.H.1
-
191
-
-
50249124045
-
High Performance High-k MIM Capacitor with Plug-in Plate (PiP) for power delivery line of high-speed MPUs
-
June
-
N. Inoue et al., "High Performance High-k MIM Capacitor with Plug-in Plate (PiP) for Power Delivery Line of High-Speed MPUs", Proceedings of the IEEE International Interconnect Technology Conference, pp. 63-65, June 2006.
-
(2006)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 63-65
-
-
Inoue, N.1
-
192
-
-
33750597142
-
-
Ph. D. Thesis, Stanford University, Stanford, California
-
T. Soorapanth, CMOS RF Filtering at GHz Frequency, Ph. D. Thesis, Stanford University, Stanford, California, 2002.
-
(2002)
CMOS RF Filtering at GHz Frequency
-
-
Soorapanth, T.1
-
193
-
-
84891382315
-
Applications of Metal-Insulator-Metal (MIM) Capacitors
-
Technology Transfer # 00083985A-ENG, October
-
"Applications of Metal-Insulator-Metal (MIM) Capacitors", International SEMATECH, Technology Transfer # 00083985A-ENG, October 2000.
-
(2000)
International SEMATECH
-
-
-
194
-
-
0008814491
-
-
U. S. Patent # 5, 208, 725, May 4
-
O. E. Akcasu, "High Capacitance Structures in a Semiconductor Device", U. S. Patent # 5, 208, 725, May 4, 1993.
-
(1993)
High Capacitance Structures in a Semiconductor Device
-
-
Akcasu, O.E.1
-
196
-
-
0032316465
-
Fractal capacitors
-
December
-
H. Samavati et al., "Fractal Capacitors", IEEE Journal of Solid-State Circuits, Vol. 33, No. 12, pp. 2035-2041, December 1998.
-
(1998)
IEEE Journal of Solid-state Circuits
, vol.33
, Issue.12
, pp. 2035-2041
-
-
Samavati, H.1
-
197
-
-
0036503668
-
Capacity limits and matching properties of integrated capacitors
-
March
-
R. Aparicio and A. Hajimiri, "Capacity Limits and Matching Properties of Integrated Capacitors", IEEE Journal of Solid-State Circuits, Vol. 37, No. 3, pp. 384-393, March 2002.
-
(2002)
IEEE Journal of Solid-state Circuits
, vol.37
, Issue.3
, pp. 384-393
-
-
Aparicio, R.1
Hajimiri, A.2
-
198
-
-
4243908753
-
-
U. S. Patent # 5, 583, 359, December 10
-
A. C. C. Ng and M. Saran, "Capacitor Structure for an Integrated Circuit", U. S. Patent # 5, 583, 359, December 10, 1996.
-
(1996)
Capacitor Structure for an Integrated Circuit
-
-
Ng, A.C.C.1
Saran, M.2
-
199
-
-
14844323647
-
Decoupling capacitors for power distribution systems with multiple power supply voltages
-
September
-
M. Popovich and E. G. Friedman, "Decoupling Capacitors for Power Distribution Systems with Multiple Power Supply Voltages", Proceedings of the IEEE International SOC Conference, pp. 331-334, September 2004.
-
(2004)
Proceedings of the IEEE International SOC Conference
, pp. 331-334
-
-
Popovich, M.1
Friedman, E.G.2
-
200
-
-
33750923336
-
Maximum effective distance of on-chip decoupling capacitors in power distribution grids
-
March
-
M. Popovich, E. G. Friedman, M. Sotman, A. Kolodny, and R. M. Secareanu, "Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids", Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI, pp. 173-179, March 2006.
-
(2006)
Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI
, pp. 173-179
-
-
Popovich, M.1
Friedman, E.G.2
Sotman, M.3
Kolodny, A.4
Secareanu, R.M.5
-
201
-
-
0034428197
-
An on-chip voltage regulator using switched decoupling capacitors
-
February
-
M. Ang, R. Salem, and A. Taylor, "An On-Chip Voltage Regulator Using Switched Decoupling Capacitors", Proceedings of the IEEE International Solid-State Circuits Conference, pp. 438-439, February 2000.
-
(2000)
Proceedings of the IEEE International Solid-state Circuits Conference
, pp. 438-439
-
-
Ang, M.1
Salem, R.2
Taylor, A.3
-
202
-
-
84979153062
-
Design and analysis of power distribution networks
-
Chandrakasan, Bowhill, and Fox, Eds., Chapter 24, IEEE Press, New York
-
D. Blaauw, R. Panda, and R. Chaudhry, "Design and Analysis of Power Distribution Networks", Design of High-Performance Microprocessor Circuits, Chandrakasan, Bowhill, and Fox, (Eds.), Chapter 24, pp. 499-522, IEEE Press, New York, 2001.
-
(2001)
Design of High-performance Microprocessor Circuits
, pp. 499-522
-
-
Blaauw, D.1
Panda, R.2
Chaudhry, R.3
-
203
-
-
0029475737
-
Design of an efficient power distribution network for the ultrasparc-I microprocessor
-
October
-
A. Dalal, L. Lev, and S. Mitra, "Design of an Efficient Power Distribution Network for the UltraSPARC-I Microprocessor", Proceedings of the IEEE International Conference on Computer Design, pp. 118-123, October 1995.
-
(1995)
Proceedings of the IEEE International Conference on Computer Design
, pp. 118-123
-
-
Dalal, A.1
Lev, L.2
Mitra, S.3
-
204
-
-
0031641244
-
Power considerations in the design of the alpha 21264 microprocessor
-
June
-
M. K. Gowan, L. L. Biro, and D. Jackson, "Power Considerations in the Design of the Alpha 21264 Microprocessor", Proceedings of the IEEE/ACM Design Automation Conference, pp. 726-731, June 1998.
-
(1998)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 726-731
-
-
Gowan, M.K.1
Biro, L.L.2
Jackson, D.3
-
205
-
-
0002359993
-
A new power distribution strategy for area array bonded ics and packages of future deep sub-micron ULSI
-
June
-
L. Cao and J. P. Krusius, "A New Power Distribution Strategy for Area Array Bonded ICs and Packages of Future Deep Sub-Micron ULSI", Proceedings of the IEEE Electronic Components and Technology Conference, pp. 915-920, June 1999.
-
(1999)
Proceedings of the IEEE Electronic Components and Technology Conference
, pp. 915-920
-
-
Cao, L.1
Krusius, J.P.2
-
207
-
-
2442685835
-
Scaling trends of on-chip power distribution noise
-
April
-
A. V. Mezhiba and E. G. Friedman, "Scaling Trends of On-Chip Power Distribution Noise", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 4, pp. 386-394, April 2004.
-
(2004)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.12
, Issue.4
, pp. 386-394
-
-
Mezhiba, A.V.1
Friedman, E.G.2
-
211
-
-
0031642709
-
Design and analysis of power distribution networks in powerpc microprocessors
-
June
-
A. Dharchoudhury et al., "Design and Analysis of Power Distribution Networks in PowerPC Microprocessors", Proceedings of the IEEE/ACM Design Automation Conference, pp. 738-743, June 1998.
-
(1998)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 738-743
-
-
Dharchoudhury, A.1
-
212
-
-
0033349830
-
Chip integration methodology for the IBM S/390 G5 and G6 custom microprocessors
-
September/November
-
R. M. Averill III et al., "Chip Integration Methodology for the IBM S/390 G5 and G6 Custom Microprocessors", IBM Journal of Research and Development, Vol. 43, No. 5/6, pp. 681-706, September/November 1999.
-
(1999)
IBM Journal of Research and Development
, vol.43
, Issue.5-6
, pp. 681-706
-
-
Averill III, R.M.1
-
213
-
-
0034239060
-
Design and performance evaluation of microprocessor packaging capacitors using integrated capacitor-via-plane model
-
August
-
Y.-L. Li, T.-G. Yew, C.-Y. Chung, and D. G. Figueroa, "Design and Performance Evaluation of Microprocessor Packaging Capacitors Using Integrated Capacitor-Via-Plane Model", IEEE Transactions on Advanced Packaging, Vol. 23, No. 3, pp. 361-367, August 2000.
-
(2000)
IEEE Transactions on Advanced Packaging
, vol.23
, Issue.3
, pp. 361-367
-
-
Li, Y.-L.1
Yew, T.-G.2
Chung, C.-Y.3
Figueroa, D.G.4
-
214
-
-
0027002992
-
The close attached capacitor: A solution to switching noise problems
-
December
-
S. H. Hashemi, P. A. Sandborn, D. Disko, and R. Evans, "The Close Attached Capacitor: A Solution to Switching Noise Problems", IEEE Transactions on Advanced Packaging, Vol. 15, No. 6, pp. 1056-1063, December 1992.
-
(1992)
IEEE Transactions on Advanced Packaging
, vol.15
, Issue.6
, pp. 1056-1063
-
-
Hashemi, S.H.1
Sandborn, P.A.2
Disko, D.3
Evans, R.4
-
216
-
-
0004122431
-
-
John Wiley & Sons, Inc., New York
-
S. H. Hall, G. W. Hall, and J. A. McCall, High-Speed Digital System Design: A Handbook of Interconnect Theory and Design Practices, John Wiley & Sons, Inc., New York, 2000.
-
(2000)
High-speed Digital System Design: A Handbook of Interconnect Theory and Design Practices
-
-
Hall, S.H.1
Hall, G.W.2
McCall, J.A.3
-
219
-
-
0036289401
-
The circuit and physical design of the POWER4 microprocessor
-
January
-
J. D. Warnock et al., "The Circuit and Physical Design of the POWER4 Microprocessor", IBM Journal of Research and Development, Vol. 46, No. 1, pp. 27-51, January 2002.
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.1
, pp. 27-51
-
-
Warnock, J.D.1
-
220
-
-
84988935108
-
Scaling and performance implications for power supply and other signal routing constraints imposed by I/O limitations
-
February
-
L. A. Arledge Jr. and W. T. Lynch, "Scaling and Performance Implications for Power Supply and Other Signal Routing Constraints Imposed by I/O Limitations", Proceedings of the IEEE Symposium on IC/Package Design Integration, pp. 45-50, February 1998.
-
(1998)
Proceedings of the IEEE Symposium on IC/Package Design Integration
, pp. 45-50
-
-
Arledge Jr., L.A.1
Lynch, W.T.2
-
223
-
-
0032300452
-
Flip-chip power distribution
-
October
-
S. Lipa, J. T. Schaffer, A. W. Glaser, and P. D. Franzon, "Flip-Chip Power Distribution", Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging, pp. 39-41, October 1998.
-
(1998)
Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging
, pp. 39-41
-
-
Lipa, S.1
Schaffer, J.T.2
Glaser, A.W.3
Franzon, P.D.4
-
224
-
-
84979169496
-
Issues in chip-package codesign with MCM-D/Flip-Chip technology
-
October
-
P. D. Franzon, J. T. Schaffer, S. Lipa, and A. W. Glaser, "Issues in Chip-Package Codesign with MCM-D/Flip-Chip Technology", Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging, pp. 88-92, October 1998.
-
(1998)
Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging
, pp. 88-92
-
-
Franzon, P.D.1
Schaffer, J.T.2
Lipa, S.3
Glaser, A.W.4
-
225
-
-
0035704356
-
Design and performance evaluation of pentium III microprocessor packaging
-
October
-
A. Sarangi, G. Ji, T. Arabi, and G. F. Taylor, "Design and Performance Evaluation of Pentium III Microprocessor Packaging", Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging, pp. 291-294, October 2001.
-
(2001)
Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging
, pp. 291-294
-
-
Sarangi, A.1
Ji, G.2
Arabi, T.3
Taylor, G.F.4
-
226
-
-
0141633371
-
High performance package design for a 1 GHz microprocessor
-
May
-
R. Mahajan et al., "High Performance Package Design for a 1 GHz Microprocessor", Intel Technology Journal, Vol. 6, No. 2, pp. 62-75, May 2002.
-
(2002)
Intel Technology Journal
, vol.6
, Issue.2
, pp. 62-75
-
-
Mahajan, R.1
-
227
-
-
0033715475
-
SuperCSPTM
-
May
-
T. Kawahara, "SuperCSPTM", IEEE Transactions on Advanced Packaging, Vol. 23, No. 2, pp. 215-219, May 2000.
-
(2000)
IEEE Transactions on Advanced Packaging
, vol.23
, Issue.2
, pp. 215-219
-
-
Kawahara, T.1
-
229
-
-
0035521215
-
High performance package design for a 1 GHz microprocessor
-
November
-
A. Hasan et al., "High Performance Package Design for a 1 GHz Microprocessor", IEEE Transactions on Advanced Packaging, Vol. 24, No. 4, pp. 470-476, November 2001.
-
(2001)
IEEE Transactions on Advanced Packaging
, vol.24
, Issue.4
, pp. 470-476
-
-
Hasan, A.1
-
232
-
-
0036058076
-
Congestion-driven codesign of power and signal networks
-
June
-
H. Su, J. Hu, S. S. Sapatnekar, and S. R. Nassif, "Congestion-Driven Codesign of Power and Signal Networks", Proceedings of the IEEE/ACM Design Automation Conference, pp. 64-69, June 2002.
-
(2002)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 64-69
-
-
Su, H.1
Hu, J.2
Sapatnekar, S.S.3
Nassif, S.R.4
-
233
-
-
0037387781
-
On integrating power and signal routing for shield count minimization in congested regions
-
April
-
P. Saxena and S. Gupta, "On Integrating Power and Signal Routing for Shield Count Minimization in Congested Regions", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 22, No. 4, pp. 437-445, April 2003.
-
(2003)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.22
, Issue.4
, pp. 437-445
-
-
Saxena, P.1
Gupta, S.2
-
234
-
-
0032643292
-
Dealing with inductance in high-speed chip design
-
June
-
P. Restle, A. Ruehli, and S. G. Walker, "Dealing with Inductance in High-Speed Chip Design", Proceedings of the IEEE/ACM Design Automation Conference, pp. 904-909, June 1999.
-
(1999)
Proceedings of the IEEE/ACM Design Automation Conference
, pp. 904-909
-
-
Restle, P.1
Ruehli, A.2
Walker, S.G.3
-
235
-
-
0034819062
-
Multi-GHz interconnect effects in microprocessors
-
April
-
P. J. Restle, A. E. Ruehli, and S. G. Walker, "Multi-GHz Interconnect Effects in Microprocessors", Proceedings of the ACM International Symposium on Physical Design, pp. 93-97, April 2001.
-
(2001)
Proceedings of the ACM International Symposium on Physical Design
, pp. 93-97
-
-
Restle, P.J.1
Ruehli, A.E.2
Walker, S.G.3
-
237
-
-
0035439101
-
Placement of substrate contacts to minimize substrate noise in mixed-signal integrated circuits
-
September
-
R. M. Secareanu et al., "Placement of Substrate Contacts to Minimize Substrate Noise in Mixed-Signal Integrated Circuits", Analog Integrated Circuits and Signal Processing, Vol. 28, No. 3, pp. 253-264, September 2001.
-
(2001)
Analog Integrated Circuits and Signal Processing
, vol.28
, Issue.3
, pp. 253-264
-
-
Secareanu, R.M.1
-
240
-
-
0020779529
-
Resistance extraction from mask layout data
-
July
-
M. Horowitz and R. W. Dutton, "Resistance Extraction from Mask Layout Data", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 2, No. 3, pp. 145-150, July 1983.
-
(1983)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.2
, Issue.3
, pp. 145-150
-
-
Horowitz, M.1
Dutton, R.W.2
-
242
-
-
0029546682
-
On-chip decoupling capacitor optimization for high-performance VLSIl
-
May
-
H. H. Chen and S. E. Schuster, "On-Chip Decoupling Capacitor Optimization for High-Performance VLSI Design", Proceedings of the IEEE International Symposium on VLSI Technology, Systems, and Applications, pp. 99-103, May 1995.
-
(1995)
Proceedings of the IEEE International Symposium on VLSI Technology, Systems, and Applications
, pp. 99-103
-
-
Chen, H.H.1
Schuster, S.E.2
-
243
-
-
0032202596
-
High-level power modeling, estimation, and optimization
-
November
-
E. Macii, M. Pedram, and F. Somenzi, "High-Level Power Modeling, Estimation, and Optimization", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 17, No. 11, pp. 1061-1079, November 1998.
-
(1998)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.17
, Issue.11
, pp. 1061-1079
-
-
Macii, E.1
Pedram, M.2
Somenzi, F.3
-
244
-
-
0033719421
-
Wattch: A framework for architectural-level analysis and optimization
-
June
-
D. Brooks, T. Tiwari, and M. Martonosi, "Wattch: A Framework for Architectural-Level Analysis and Optimization", Proceedings of the ACM International Symposium on Computer Architecture, pp. 83-94, June 2000.
-
(2000)
Proceedings of the ACM International Symposium on Computer Architecture
, pp. 83-94
-
-
Brooks, D.1
Tiwari, T.2
Martonosi, M.3
-
245
-
-
0028711580
-
A survey of power estimation techniques in VLSI circuits
-
December
-
F. N. Najm, "A Survey of Power Estimation Techniques in VLSI Circuits", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 2, No. 4, pp. 446-455, December 1994.
-
(1994)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.2
, Issue.4
, pp. 446-455
-
-
Najm, F.N.1
-
246
-
-
0029358733
-
Pattern independent maximum current estimation in power and ground buses of CMOS VLSI circuits: Algorithms, signal correlations, and their resolution
-
August
-
H. Kriplani, F. N. Najm, and I. N. Hajj, "Pattern Independent Maximum Current Estimation in Power and Ground Buses of CMOS VLSI Circuits: Algorithms, Signal Correlations, and Their Resolution", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 8, pp. 998-1012, August 1995.
-
(1995)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.14
, Issue.8
, pp. 998-1012
-
-
Kriplani, H.1
Najm, F.N.2
Hajj, I.N.3
-
250
-
-
0003473379
-
-
McGraw-Hill, Inc., New York
-
L. T. Pillage, R. A. Rohrer, and C. Visweswariah, Electronic Circuit and System Simulation Methods, McGraw-Hill, Inc., New York, 1994.
-
(1994)
Electronic Circuit and System Simulation Methods
-
-
Pillage, L.T.1
Rohrer, R.A.2
Visweswariah, C.3
-
252
-
-
0036173219
-
Design and analysis of power integrity in deep submicron system-on-chip circuits
-
January
-
L.-R. Zheng and H. Tenhunen, "Design and Analysis of Power Integrity in Deep Submicron System-on-Chip Circuits", Analog Integrated Circuits and Signal Processing, Vol. 30, No. 1, pp. 15-29, January 2002.
-
(2002)
Analog Integrated Circuits and Signal Processing
, vol.30
, Issue.1
, pp. 15-29
-
-
Zheng, L.-R.1
Tenhunen, H.2
-
253
-
-
1242351526
-
-
Ph. D. Thesis, Royal Institute of Technology, Stockholm, Sweden
-
L.-R. Zheng, Design, Analysis, and Integration of Mixed-Signal Systems for Signal and Power Integrity, Ph. D. Thesis, Royal Institute of Technology, Stockholm, Sweden, 2001.
-
(2001)
Design, Analysis, and Integration of Mixed-signal Systems for Signal and Power Integrity
-
-
Zheng, L.-R.1
-
254
-
-
0036474411
-
Hierarchical analysis of power distribution networks
-
February
-
M. Zhao, R. V. Panda, S. S. Sapatnekar, and D. Blaauw, "Hierarchical Analysis of Power Distribution Networks", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 21, No. 2, pp. 159-168, February 2002.
-
(2002)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.21
, Issue.2
, pp. 159-168
-
-
Zhao, M.1
Panda, R.V.2
Sapatnekar, S.S.3
Blaauw, D.4
-
257
-
-
0036811946
-
A multigrid-like technique for power grid analysis
-
October
-
J. N. Kozhaya, S. R. Nassif, and F. N. Najm, "A Multigrid-like Technique for Power Grid Analysis", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 21, No. 10, pp. 1148-1160, October 2002.
-
(2002)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.21
, Issue.10
, pp. 1148-1160
-
-
Kozhaya, J.N.1
Nassif, S.R.2
Najm, F.N.3
-
258
-
-
0034483875
-
Fast analysis and optimization of power/ground networks
-
November
-
H. Su, K. H. Gala, and S. S. Sapatnekar, "Fast Analysis and Optimization of Power/Ground Networks", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 477-480, November 2000.
-
(2000)
Proceedings of the IEEE/ACM International Conference on Computer-aided Design
, pp. 477-480
-
-
Su, H.1
Gala, K.H.2
Sapatnekar, S.S.3
-
259
-
-
0032139262
-
PRIMA: Passive reduced-order interconnect macromodeling algorithm
-
August
-
A. Odabasioglu, M. Celik, and L. T. Pileggi, "PRIMA: Passive Reduced-Order Interconnect Macromodeling Algorithm", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 17, No. 8, pp. 645-654, August 1998.
-
(1998)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.17
, Issue.8
, pp. 645-654
-
-
Odabasioglu, A.1
Celik, M.2
Pileggi, L.T.3
-
260
-
-
0033712726
-
Estimation of inductive and resistive switching noise on power supply network in deep submicron CMOS circuits
-
October
-
S. Zhao, K. Roy, and C.-K. Koh, "Estimation of Inductive and Resistive Switching Noise on Power Supply Network in Deep Submicron CMOS Circuits", Proceedings of the IEEE International Conference on Computer Design, pp. 65-72, October 2000.
-
(2000)
Proceedings of the IEEE International Conference on Computer Design
, pp. 65-72
-
-
Zhao, S.1
Roy, K.2
Koh, C.-K.3
-
261
-
-
0034477855
-
Frequency domain analysis of switching noise on power supply network
-
November
-
S. Zhao, K. Roy, and C.-K. Koh, "Frequency Domain Analysis of Switching Noise on Power Supply Network", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 487-492, November 2000.
-
(2000)
Proceedings of the IEEE/ACM International Conference on Computer-aided Design
, pp. 487-492
-
-
Zhao, S.1
Roy, K.2
Koh, C.-K.3
-
263
-
-
0033697723
-
On-Chip decoupling capacitor optimization using architectural level current signature prediction
-
September
-
M. D. Pant, P. Pant, and D. S. Wills, "On-Chip Decoupling Capacitor Optimization Using Architectural Level Current Signature Prediction", Proceedings of the IEEE International ASIC/SOC Conference, pp. 288-292, September 2000.
-
(2000)
Proceedings of the IEEE International ASIC/SOC Conference
, pp. 288-292
-
-
Pant, M.D.1
Pant, P.2
Wills, D.S.3
-
264
-
-
0034463485
-
On-Chip decoupling capacitor optimization using architectural level prediction
-
August
-
M. D. Pant, P. Pant, and D. S. Wills, "On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction", Proceedings of the IEEE Midwest Symposium on Circuit and Systems, pp. 772-775, August 2000.
-
(2000)
Proceedings of the IEEE Midwest Symposium on Circuit and Systems
, pp. 772-775
-
-
Pant, M.D.1
Pant, P.2
Wills, D.S.3
-
265
-
-
0036625321
-
On-Chip decoupling capacitor optimization using architectural level prediction
-
June
-
M. D. Pant, P. Pant, and D. S. Wills, "On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 3, pp. 319-326, June 2002.
-
(2002)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.10
, Issue.3
, pp. 319-326
-
-
Pant, M.D.1
Pant, P.2
Wills, D.S.3
-
266
-
-
0034818788
-
Decoupling capacitance allocation for power supply noise suppression
-
April
-
S. Zhao, K. Roy, and C.-K. Koh, "Decoupling Capacitance Allocation for Power Supply Noise Suppression", Proceedings of the ACM International Symposium on Physical Design, pp. 66-71, April 2001.
-
(2001)
Proceedings of the ACM International Symposium on Physical Design
, pp. 66-71
-
-
Zhao, S.1
Roy, K.2
Koh, C.-K.3
-
267
-
-
84962229184
-
Power supply noise aware floorplanning and decoupling capacitance placement
-
January
-
S. Zhao, K. Roy, and C.-K. Koh, "Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement", Proceedings of the IEEE International Conference on VLSI Design, pp. 489-495, January 2002.
-
(2002)
Proceedings of the IEEE International Conference on VLSI Design
, pp. 489-495
-
-
Zhao, S.1
Roy, K.2
Koh, C.-K.3
-
268
-
-
0036179950
-
Decoupling capacitance allocation and its application to power-supply noise-aware floorplanning
-
January
-
S. Zhao, K. Roy, and C.-K. Koh, "Decoupling Capacitance Allocation and Its Application to Power-Supply Noise-Aware Floorplanning", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 21, No. 1, pp. 81-92, January 2002.
-
(2002)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.21
, Issue.1
, pp. 81-92
-
-
Zhao, S.1
Roy, K.2
Koh, C.-K.3
-
269
-
-
0032315113
-
Noise considerations in circuit optimization
-
November
-
A. R. Conn, R. A. Haring, and C. Visweswariah, "Noise Considerations in Circuit Optimization", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 220-227, November 1998.
-
(1998)
Proceedings of the IEEE/ACM International Conference on Computer-aided Design
, pp. 220-227
-
-
Conn, A.R.1
Haring, R.A.2
Visweswariah, C.3
-
270
-
-
0033706213
-
Noise considerations in circuits optimization
-
June
-
C. Viswesvariah, R. A. Haring, and A. R. Conn, "Noise Considerations in Circuits Optimization", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 19, No. 6, pp. 679-690, June 2000.
-
(2000)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.19
, Issue.6
, pp. 679-690
-
-
Viswesvariah, C.1
Haring, R.A.2
Conn, A.R.3
-
271
-
-
0036374252
-
An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts
-
April
-
H. Su, S. S. Sapatnekar, and S. R. Nassif, "An Algorithm for Optimal Decoupling Capacitor Sizing and Placement for Standard Cell Layouts", Proceedings of the ACM International Symposium on Physical Design, pp. 68-73, April 2002.
-
(2002)
Proceedings of the ACM International Symposium on Physical Design
, pp. 68-73
-
-
Su, H.1
Sapatnekar, S.S.2
Nassif, S.R.3
-
272
-
-
0344089095
-
Optimal decoupling capacitor sizing and placement for standard cell layout designs
-
April
-
H. Su, S. S. Sapatnekar, and S. R. Nassif, "Optimal Decoupling Capacitor Sizing and Placement for Standard Cell Layout Designs", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 22, No. 4, pp. 428-436, April 2003.
-
(2003)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.22
, Issue.4
, pp. 428-436
-
-
Su, H.1
Sapatnekar, S.S.2
Nassif, S.R.3
-
274
-
-
84886448141
-
A High Performance 1.8 V 0.20 ìm CMOS Technology with Copper Metalization
-
December
-
S. Venkatesan et al., "A High Performance 1.8 V 0.20 ìm CMOS Technology with Copper Metalization", Proceedings of the IEEE International Electron Device Meeting, pp. 769-772, December 1997.
-
(1997)
Proceedings of the IEEE International Electron Device Meeting
, pp. 769-772
-
-
Venkatesan, S.1
-
278
-
-
0041848581
-
Frequency characteristics of high speed power distribution grids
-
May/June
-
A. V. Mezhiba and E. G. Friedman, "Frequency Characteristics of High Speed Power Distribution Grids", Analog Integrated Circuits and Signal Processing, Vol. 35, No. 2/3, pp. 207-214, May/June 2003.
-
(2003)
Analog Integrated Circuits and Signal Processing
, vol.35
, Issue.2-3
, pp. 207-214
-
-
Mezhiba, A.V.1
Friedman, E.G.2
-
280
-
-
0016116644
-
Design of ion-implanted MOSFET's with very small physical dimensions
-
October
-
R. H. Dennard et al., "Design of Ion-Implanted MOSFET's with Very Small Physical Dimensions", IEEE Journal of Solid-State Circuits, Vol. SC-33, No. 5, pp. 256-268, October 1974.
-
(1974)
IEEE Journal of Solid-state Circuits
, vol.SC-33
, Issue.5
, pp. 256-268
-
-
Dennard, R.H.1
-
281
-
-
0020114559
-
Effect of scaling of interconnections on the time delay of VLSI circuits
-
April
-
K. C. Saraswat and E. Mohammadi, "Effect of Scaling of Interconnections on the Time Delay of VLSI Circuits", IEEE Transactions on Electron Devices, Vol. ED-29, No. 4, pp. 645-650, April 1982.
-
(1982)
IEEE Transactions on Electron Devices
, vol.ED-29
, Issue.4
, pp. 645-650
-
-
Saraswat, K.C.1
Mohammadi, E.2
-
282
-
-
0022670257
-
Power distribution techniques for VLSI circuits
-
February
-
W. S. Song and L. A. Glasser, "Power Distribution Techniques for VLSI Circuits", IEEE Journal of Solid-State Circuits, Vol. SC-21, No. 1, pp. 150-156, February 1986.
-
(1986)
IEEE Journal of Solid-state Circuits
, vol.SC-21
, Issue.1
, pp. 150-156
-
-
Song, W.S.1
Glasser, L.A.2
-
283
-
-
0031234837
-
Di/dt noise in CMOS integrated circuits
-
September
-
P. Larsson, "di/dt Noise in CMOS Integrated Circuits", Analog Integrated Circuits and Signal Processing, Vol. 14, No. 1/2, pp. 113-129, September 1997.
-
(1997)
Analog Integrated Circuits and Signal Processing
, vol.14
, Issue.1-2
, pp. 113-129
-
-
Larsson, P.1
-
284
-
-
0022117244
-
Delta-I noise specification for a high-performance computing machine
-
September
-
G. A. Katopis, "Delta-I Noise Specification for a High-Performance Computing Machine", Proceedings of the IEEE, Vol. 73, No. 9, pp. 1405-1415, September 1985.
-
(1985)
Proceedings of the IEEE
, vol.73
, Issue.9
, pp. 1405-1415
-
-
Katopis, G.A.1
-
285
-
-
0030216363
-
Modeling, measurement, and simulation of simultaneous switching noise
-
August
-
B. D. McCredie and W. D. Becker, "Modeling, Measurement, and Simulation of Simultaneous Switching Noise", IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol. 19, No. 3, pp. 461-472, August 1996.
-
(1996)
IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging
, vol.19
, Issue.3
, pp. 461-472
-
-
McCredie, B.D.1
Becker, W.D.2
-
295
-
-
0027002268
-
HYPERLP: A system for power minimization using architectural transformations
-
November
-
A. Chandrakasan, M. Potkonjak, J. Rabaey, and R. W. Brodersen, "HYPERLP: A System for Power Minimization Using Architectural Transformations", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 300-303, November 1992.
-
(1992)
Proceedings of the IEEE/ACM International Conference on Computer-aided Design
, pp. 300-303
-
-
Chandrakasan, A.1
Potkonjak, M.2
Rabaey, J.3
Brodersen, R.W.4
-
296
-
-
0029231165
-
Optimizing power using transformations
-
January
-
A. P. Chandrakasan et al., "Optimizing Power Using Transformations", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 1, pp. 12-31, January 1995.
-
(1995)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.14
, Issue.1
, pp. 12-31
-
-
Chandrakasan, A.P.1
-
297
-
-
0026853681
-
Low-power CMOS digital design
-
April
-
A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, "Low-Power CMOS Digital Design", IEEE Journal of Solid-State Circuits, Vol. 27, No. 4, pp. 473-484, April 1992.
-
(1992)
IEEE Journal of Solid-state Circuits
, vol.27
, Issue.4
, pp. 473-484
-
-
Chandrakasan, A.P.1
Sheng, S.2
Brodersen, R.W.3
-
298
-
-
0029359285
-
1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS
-
August
-
S. Mutoh et al., "1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage CMOS", IEEE Journal of Solid-State Circuits, Vol. 30, No. 8, pp. 847-854, August 1995.
-
(1995)
IEEE Journal of Solid-state Circuits
, vol.30
, Issue.8
, pp. 847-854
-
-
Mutoh, S.1
-
299
-
-
34548119975
-
-
John Wiley & Sons, Inc., New York, New York
-
V. Kursun and E. G. Friedman, Multi-Voltage CMOS Circuit Design, John Wiley & Sons, Inc., New York, New York, 2006.
-
(2006)
Multi-voltage CMOS Circuit Design
-
-
Kursun, V.1
Friedman, E.G.2
-
300
-
-
0029700814
-
A High-Speed Low-Power 0.3 ìm CMOS Gate Array with Variable Threshold Voltage (VT) Scheme
-
May
-
T. Kuroda et al., "A High-Speed Low-Power 0.3 ìm CMOS Gate Array with Variable Threshold Voltage (VT) Scheme", Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 53-56, May 1996.
-
(1996)
Proceedings of the IEEE Custom Integrated Circuits Conference
, pp. 53-56
-
-
Kuroda, T.1
-
301
-
-
0742321608
-
Domino logic with variable threshold keeper
-
December
-
V. Kursun and E. G. Friedman, "Domino Logic with Variable Threshold Keeper", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 11, No. 6, pp. 1080-1093, December 2003.
-
(2003)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.11
, Issue.6
, pp. 1080-1093
-
-
Kursun, V.1
Friedman, E.G.2
-
302
-
-
2542425705
-
Sleep switch dual threshold voltage domino logic with reduced standby leakage current
-
May
-
V. Kursun and E. G. Friedman, "Sleep Switch Dual Threshold Voltage Domino Logic with Reduced Standby Leakage Current", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 5, pp. 485-496, May 2004.
-
(2004)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.12
, Issue.5
, pp. 485-496
-
-
Kursun, V.1
Friedman, E.G.2
-
303
-
-
0029726316
-
Low-power design technique for ASIC's by partially reducing supply voltage
-
September
-
K. Usami, T. Ishikawa, M. Kanazawa, and H. Kotani, "Low-Power Design Technique for ASIC's by Partially Reducing Supply Voltage", Proceedings of the IEEE International ASIC Conference, pp. 301-304, September 1996.
-
(1996)
Proceedings of the IEEE International ASIC Conference
, pp. 301-304
-
-
Usami, K.1
Ishikawa, T.2
Kanazawa, M.3
Kotani, H.4
-
306
-
-
0031342514
-
Energy minimization using multiple supply voltages
-
December
-
J.-M. Chang and M. Pedram, "Energy Minimization Using Multiple Supply Voltages", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 5, No. 4, pp. 436-443, December 1997.
-
(1997)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.5
, Issue.4
, pp. 436-443
-
-
Chang, J.-M.1
Pedram, M.2
-
308
-
-
0036290699
-
CMOS voltage interface circuit for low power systems
-
May
-
V. Kursun, R. M. Secareanu, and E. G. Friedman, "CMOS Voltage Interface Circuit for Low Power Systems", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 3667-3670, May 2002.
-
(2002)
Proceedings of the IEEE International Symposium on Circuits and Systems
, pp. 3667-3670
-
-
Kursun, V.1
Secareanu, R.M.2
Friedman, E.G.3
-
309
-
-
0032022688
-
Automated low-power technique exploiting multiple supply voltages applied to a media processor
-
March
-
K. Usami et al., "Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor", IEEE Journal of Solid-State Circuits, Vol. 33, No. 3, pp. 463-472, March 1998.
-
(1998)
IEEE Journal of Solid-state Circuits
, vol.33
, Issue.3
, pp. 463-472
-
-
Usami, K.1
-
311
-
-
0030648681
-
Automated low-power technique exploiting multiple supply voltages applied to a media processor
-
May
-
K. Usami et al., "Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor", Proceedings of the IEEE Custom Integrated Circuit Conference, pp. 131-134, May 1997.
-
(1997)
Proceedings of the IEEE Custom Integrated Circuit Conference
, pp. 131-134
-
-
Usami, K.1
-
312
-
-
2942624435
-
Low-voltage-swing monolithic DC-DC conversion
-
May
-
V. Kursun, S. G. Narendra, V. K. De, and E. G. Friedman, "Low-Voltage-Swing Monolithic DC-DC Conversion", IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 51, No. 5, pp. 241-248, May 2004.
-
(2004)
IEEE Transactions on Circuits and Systems II: Express Briefs
, vol.51
, Issue.5
, pp. 241-248
-
-
Kursun, V.1
Narendra, S.G.2
De, V.K.3
Friedman, E.G.4
-
313
-
-
23844498784
-
Monolithic voltage conversion in low-voltage CMOS technologies
-
September
-
V. Kursun, V. K. De, E. G. Friedman, and S. G. Narendra, "Monolithic Voltage Conversion in Low-Voltage CMOS Technologies", Microelectronics Journal, Vol. 36, No. 9, pp. 863-867, September 2005.
-
(2005)
Microelectronics Journal
, vol.36
, Issue.9
, pp. 863-867
-
-
Kursun, V.1
De, V.K.2
Friedman, E.G.3
Narendra, S.G.4
-
314
-
-
0036049564
-
High-performance and low-power challenges for sub-70 nm microprocessor circuits
-
May
-
R. K. Krishnamurthy, A. Alvandpour, V. De, and S. Borkar, "High-Performance and Low-Power Challenges for Sub-70 nm Microprocessor Circuits", Proceedings of the IEEE Custom Integrated Circuit Conference, pp. 125-128, May 2002.
-
(2002)
Proceedings of the IEEE Custom Integrated Circuit Conference
, pp. 125-128
-
-
Krishnamurthy, R.K.1
Alvandpour, A.2
De, V.3
Borkar, S.4
-
315
-
-
4544278138
-
High performance level conversion for dual vdd design
-
September
-
S. H. Kulkarni and D. Sylvester, "High Performance Level Conversion for Dual Vdd Design", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 9, pp. 926-936, September 2004.
-
(2004)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.12
, Issue.9
, pp. 926-936
-
-
Kulkarni, S.H.1
Sylvester, D.2
-
316
-
-
0041919442
-
Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor
-
June
-
V. Kursun, S. G. Narendra, V. K. De, and E. G. Friedman, "Analysis of Buck Converters for On-Chip Integration with a Dual Supply Voltage Microprocessor", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 11, No. 3, pp. 514-522, June 2003.
-
(2003)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.11
, Issue.3
, pp. 514-522
-
-
Kursun, V.1
Narendra, S.G.2
De, V.K.3
Friedman, E.G.4
-
317
-
-
20844454351
-
A 233-MHz 80%-87% efficient four-phase DC-DC converter utilizing air-core inductors on package
-
April
-
P. Hazucha et al., "A 233-MHz 80%-87% Efficient Four-Phase DC-DC Converter Utilizing Air-Core Inductors on Package", IEEE Journal of Solid-State Circuits, Vol. 40, No. 4, pp. 838-845, April 2005.
-
(2005)
IEEE Journal of Solid-state Circuits
, vol.40
, Issue.4
, pp. 838-845
-
-
Hazucha, P.1
-
319
-
-
0031645882
-
Design of standard cells used in low-power ASIC's exploiting the multiple-supply-voltage scheme
-
September
-
J.-S. Wang, S.-J. Shieh, J.-C. Wang, and C.-W. Yeh, "Design of Standard Cells Used in Low-Power ASIC's Exploiting the Multiple-Supply-Voltage Scheme", Proceedings of the IEEE International ASIC Conference, pp. 119-123, September 1998.
-
(1998)
Proceedings of the IEEE International ASIC Conference
, pp. 119-123
-
-
Wang, J.-S.1
Shieh, S.-J.2
Wang, J.-C.3
Yeh, C.-W.4
-
320
-
-
0034837915
-
Utilizing surplus timing for power reduction
-
May
-
M. Hamada, Y. Ootaguro, and T. Kuroda, "Utilizing Surplus Timing for Power Reduction", Proceedings of the IEEE Conference on Custom Integrated Circuits, pp. 89-92, May 2001.
-
(2001)
Proceedings of the IEEE Conference on Custom Integrated Circuits
, pp. 89-92
-
-
Hamada, M.1
Ootaguro, Y.2
Kuroda, T.3
-
321
-
-
0025415048
-
Alpha-power law MOSFET model and its application to CMOS inverter delay and other formulas
-
April
-
T. Sakurai and A. R. Newton, "Alpha-Power Law MOSFET Model and Its Application to CMOS Inverter Delay and Other Formulas", IEEE Journal of Solid-State Circuits, Vol. 25, No. 2, pp. 584-594, April 1990.
-
(1990)
IEEE Journal of Solid-state Circuits
, vol.25
, Issue.2
, pp. 584-594
-
-
Sakurai, T.1
Newton, A.R.2
-
322
-
-
16244371744
-
Total power optimization through simultaneously multiple-vdd multiple-VTH assignment and device sizing with stack forcing
-
August
-
W. Hung et al., "Total Power Optimization through Simultaneously Multiple-Vdd Multiple-VTH Assignment and Device Sizing with Stack Forcing", Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 144-149, August 2004.
-
(2004)
Proceedings of the IEEE International Symposium on Low Power Electronics and Design
, pp. 144-149
-
-
Hung, W.1
-
323
-
-
11944260934
-
A 4-GHz 300-mW 64-bit integer execution ALU with dual supply voltages in 90-nm CMOS
-
January
-
S. K. Mathew et al., "A 4-GHz 300-mW 64-bit Integer Execution ALU with Dual Supply Voltages in 90-nm CMOS", IEEE Journal of Solid-State Circuits, Vol. 40, No. 1, pp. 44-51, January 2005.
-
(2005)
IEEE Journal of Solid-state Circuits
, vol.40
, Issue.1
, pp. 44-51
-
-
Mathew, S.K.1
-
324
-
-
1542359159
-
Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization
-
August
-
D. Nguyen et al., "Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization", Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 158-163, August 2003.
-
(2003)
Proceedings of the IEEE International Symposium on Low Power Electronics and Design
, pp. 158-163
-
-
Nguyen, D.1
-
325
-
-
0032205691
-
A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme
-
November
-
M. Takahashi et al., "A 60-mW MPEG4 Video Codec Using Clustered Voltage Scaling with Variable Supply-Voltage Scheme", IEEE Journal of Solid-State Circuits, Vol. 33, No. 11, pp. 1772-1780, November 1998.
-
(1998)
IEEE Journal of Solid-state Circuits
, vol.33
, Issue.11
, pp. 1772-1780
-
-
Takahashi, M.1
-
326
-
-
31344451652
-
A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply
-
January
-
K. Zhang et al., "A 3-GHz 70-Mb SRAM in 65-nm CMOS Technology With Integrated Column-Based Dynamic Power Supply", IEEE Journal of Solid-State Circuits, Vol. 41, No. 1, pp. 146-151, January 2006.
-
(2006)
IEEE Journal of Solid-state Circuits
, vol.41
, Issue.1
, pp. 146-151
-
-
Zhang, K.1
-
328
-
-
9244225130
-
Impedance characteristics of power distribution grids in nanoscale integrated circuits
-
November
-
A. V. Mezhiba and E. G. Friedman, "Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 11, pp. 1148-1155, November 2004.
-
(2004)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.12
, Issue.11
, pp. 1148-1155
-
-
Mezhiba, A.V.1
Friedman, E.G.2
-
329
-
-
29244439390
-
On-chip power distribution grids with multiple supply voltages for high performance integrated circuits
-
April
-
M. Popovich, E. G. Friedman, M. Sotman, and A. Kolodny, "On-Chip Power Distribution Grids with Multiple Supply Voltages for High Performance Integrated Circuits", Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI, pp. 2-7, April 2005.
-
(2005)
Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI
, pp. 2-7
-
-
Popovich, M.1
Friedman, E.G.2
Sotman, M.3
Kolodny, A.4
-
331
-
-
85036612496
-
-
Wiley-IEEE Press, New York, New York
-
A. Chandrakasan, W. J. Bowhill, and F. Fox, Design of High-Performance Microprocessor Circuits, Wiley-IEEE Press, New York, New York, 2000.
-
(2000)
Design of High-performance Microprocessor Circuits
-
-
Chandrakasan, A.1
Bowhill, W.J.2
Fox, F.3
-
336
-
-
0026258666
-
Simultaneous switching ground noise calculation for packaged CMOS devices
-
November
-
R. Senthinathan and J. L. Prince, "Simultaneous Switching Ground Noise Calculation for Packaged CMOS Devices", IEEE Journal of Solid-State Circuits, Vol. 26, No. 11, pp. 1724-1728, November 1991.
-
(1991)
IEEE Journal of Solid-state Circuits
, vol.26
, Issue.11
, pp. 1724-1728
-
-
Senthinathan, R.1
Prince, J.L.2
-
337
-
-
0028539768
-
Effect of CMOS driver loading conditions on simultaneous switching noise
-
November
-
A. Vaidyanath, B. Thoroddsen, and J. L. Prince, "Effect of CMOS Driver Loading Conditions on Simultaneous Switching Noise", IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol. 17, No. 4, pp. 480-485, November 1994.
-
(1994)
IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging
, vol.17
, Issue.4
, pp. 480-485
-
-
Vaidyanath, A.1
Thoroddsen, B.2
Prince, J.L.3
-
338
-
-
0030142921
-
Accurate simultaneous switching noise estimation including velocity-saturation effects
-
May
-
S. R. Vemuru, "Accurate Simultaneous Switching Noise Estimation Including Velocity-Saturation Effects", IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol. 19, No. 2, pp. 344-349, May 1996.
-
(1996)
IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging
, vol.19
, Issue.2
, pp. 344-349
-
-
Vemuru, S.R.1
-
339
-
-
0035707220
-
Simultaneous switching noise analysis and low-bounce buffer design
-
December
-
S.-J. Jou, W.-C. Cheng, and Y.-T. Lin, "Simultaneous Switching Noise Analysis and Low-Bounce Buffer Design", IEE Proceedings on Circuits, Devices, and Systems, Vol. 148, No. 6, pp. 303-311, December 2001.
-
(2001)
IEE Proceedings on Circuits, Devices, and Systems
, vol.148
, Issue.6
, pp. 303-311
-
-
Jou, S.-J.1
Cheng, W.-C.2
Lin, Y.-T.3
-
341
-
-
0031233443
-
Effects of simultaneous switching noise on the tapered buffer design
-
September
-
S. R. Vemuru, "Effects of Simultaneous Switching Noise on the Tapered Buffer Design", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 5, No. 3, pp. 290-300, September 1997.
-
(1997)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.5
, Issue.3
, pp. 290-300
-
-
Vemuru, S.R.1
-
342
-
-
0042769415
-
Ground bounce in digital VLSI circuits
-
April
-
P. Heydari and M. Pedram, "Ground Bounce in Digital VLSI Circuits", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 11, No. 2, pp. 180-193, April 2003.
-
(2003)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.11
, Issue.2
, pp. 180-193
-
-
Heydari, P.1
Pedram, M.2
-
343
-
-
0026301954
-
Ground bounce and reduction techniques
-
September
-
T. J. Gabara, "Ground Bounce and Reduction Techniques", Proceedings of the IEEE ASIC Conference, pp. 13.-2.1-13.-2.2, September 1991.
-
(1991)
Proceedings of the IEEE ASIC Conference
, pp. 1321-1322
-
-
Gabara, T.J.1
-
344
-
-
0030408884
-
Clock skew optimization for ground bounce control
-
November
-
A. Vittal, H. Ha, F. Brewer, and M. Marek-Sadowska, "Clock Skew Optimization for Ground Bounce Control", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 395-399, November 1996.
-
(1996)
Proceedings of the IEEE/ACM International Conference on Computer-aided Design
, pp. 395-399
-
-
Vittal, A.1
Ha, H.2
Brewer, F.3
Marek-Sadowska, M.4
-
345
-
-
0033359227
-
An architectural solution for the inductive noise problem due to clock-gating
-
August
-
M. D. Pant, P. Pant, D. S. Wills, and V. Tiwari, "An Architectural Solution for the Inductive Noise Problem due to Clock-Gating", Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 255-257, August 1999.
-
(1999)
Proceedings of the IEEE International Symposium on Low Power Electronics and Design
, pp. 255-257
-
-
Pant, M.D.1
Pant, P.2
Wills, D.S.3
Tiwari, V.4
-
346
-
-
0031630132
-
Multi-pad power/ground network design for uniform distribution of ground bounce
-
June
-
J. Oh and M. Pedram, "Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce", Proceedings of the ACM/IEEE Design Automation Conference, pp. 287-290, June 1998.
-
(1998)
Proceedings of the ACM/IEEE Design Automation Conference
, pp. 287-290
-
-
Oh, J.1
Pedram, M.2
-
347
-
-
0029705113
-
Minimizing chip-level simultaneous switching noise for high-performance microprocessor design
-
May
-
H. Chen, "Minimizing Chip-Level Simultaneous Switching Noise for High-Performance Microprocessor Design", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 544-547, May 1996.
-
(1996)
Proceedings of the IEEE International Symposium on Circuits and Systems
, pp. 544-547
-
-
Chen, H.1
-
348
-
-
13244284556
-
Analysis and attenuation proposal in ground bounce
-
November
-
A. Zenteno, V. H. Champac, M. Renovell, and F. Azais, "Analysis and Attenuation Proposal in Ground Bounce", Proceedings of the IEEE Asian Test Symposium, pp. 460-463, November 2004.
-
(2004)
Proceedings of the IEEE Asian Test Symposium
, pp. 460-463
-
-
Zenteno, A.1
Champac, V.H.2
Renovell, M.3
Azais, F.4
-
349
-
-
11844296655
-
Digital ground bounce reduction by supply current shaping and clock frequency modulation
-
January
-
M. Badaroglu et al., "Digital Ground Bounce Reduction by Supply Current Shaping and Clock Frequency Modulation", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 24, No. 1, pp. 65-76, January 2005.
-
(2005)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.24
, Issue.1
, pp. 65-76
-
-
Badaroglu, M.1
-
351
-
-
0003678016
-
-
Prentice Hall, Upper Saddle River, New Jersey
-
M. E. Van Valkenburg, Network Analysis, Prentice Hall, Upper Saddle River, New Jersey, 1974.
-
(1974)
Network Analysis
-
-
Van Valkenburg, M.E.1
-
352
-
-
16244373402
-
A 6.7 fF/μm2 Bias-Independent Gate Capacitor (BIGCAP) with digital CMOS process and its application to the loop filter of a differential PLL
-
March
-
M. Takamiya and M. Mizuno, "A 6.7 fF/μm2 Bias-Independent Gate Capacitor (BIGCAP) with Digital CMOS Process and Its Application to the Loop Filter of a Differential PLL", IEEE Journal of Solid-State Circuits, Vol. 40, No. 3, pp. 719-725, March 2005.
-
(2005)
IEEE Journal of Solid-state Circuits
, vol.40
, Issue.3
, pp. 719-725
-
-
Takamiya, M.1
Mizuno, M.2
-
353
-
-
1642411056
-
Gate oxide leakage current analysis and reduction for VLSI circuits
-
February
-
D. Lee, D. Blaauw, and D. Sylvester, "Gate Oxide Leakage Current Analysis and Reduction for VLSI Circuits", IEEE Transactions on Very Large Scale Integration (VLSI) Circuits, Vol. 12, No. 2, pp. 155-166, February 2004.
-
(2004)
IEEE Transactions on Very Large Scale Integration (VLSI) Circuits
, vol.12
, Issue.2
, pp. 155-166
-
-
Lee, D.1
Blaauw, D.2
Sylvester, D.3
-
355
-
-
0037887527
-
Designing a 3 GHz, 130 nm, intel pentium 4 processor
-
June
-
D. Deleganes, J. Douglas, B. Kommandur, and M. Patyra, "Designing a 3 GHz, 130 nm, Intel Pentium 4 Processor", Proceedings of the IEEE Symposium on VLSI Circuits, pp. 130-133, June 2002.
-
(2002)
Proceedings of the IEEE Symposium on VLSI Circuits
, pp. 130-133
-
-
Deleganes, D.1
Douglas, J.2
Kommandur, B.3
Patyra, M.4
-
356
-
-
31344454872
-
Power and temperature control on a 90-nm itanium family processor
-
January
-
R. McGowen et al., "Power and Temperature Control on a 90-nm Itanium Family Processor", IEEE Journal of Solid-State Circuits, Vol. 41, No. 1, pp. 229-237, January 2006.
-
(2006)
IEEE Journal of Solid-state Circuits
, vol.41
, Issue.1
, pp. 229-237
-
-
McGowen, R.1
-
357
-
-
31344459067
-
The implementation of a 2-core, multi-threaded itanium family processor
-
January
-
S. Naffziger et al., "The Implementation of a 2-Core, Multi-Threaded Itanium Family Processor", IEEE Journal of Solid-State Circuits, Vol. 41, No. 1, pp. 197-209, January 2006.
-
(2006)
IEEE Journal of Solid-state Circuits
, vol.41
, Issue.1
, pp. 197-209
-
-
Naffziger, S.1
-
359
-
-
34347265091
-
-
Wolfram Research, Inc.
-
Mathematica 5.2, Wolfram Research, Inc.
-
Mathematica 5.2
-
-
-
360
-
-
0030216689
-
Time and frequency domain analysis of integral decoupling capacitors
-
August
-
M. P. Goetz, "Time and Frequency Domain Analysis of Integral Decoupling Capacitors", IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol. 19, No. 3, pp. 518-522, August 1996.
-
(1996)
IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging
, vol.19
, Issue.3
, pp. 518-522
-
-
Goetz, M.P.1
-
361
-
-
16244415824
-
Estimation of peak current trough CMOS VLSI circuit supply lines
-
January
-
T. Murayama, K. Ogawa, and H. Yamaguchi, "Estimation of Peak Current Trough CMOS VLSI Circuit Supply Lines", Proceedings of the ACM Asia and South Pacific Design Automation Conference, pp. 295-298, January 1999.
-
(1999)
Proceedings of the ACM Asia and South Pacific Design Automation Conference
, pp. 295-298
-
-
Murayama, T.1
Ogawa, K.2
Yamaguchi, H.3
-
362
-
-
0034229036
-
Analysis of leakage currents and impact on off-state power consumption for CMOS technology in the 100-nm regime
-
July
-
W. K. Henson et al., "Analysis of Leakage Currents and Impact on Off-State Power Consumption for CMOS Technology in the 100-nm Regime", IEEE Transactions on Electron Devices, Vol. 47, No. 7, pp. 1393-1400, July 2000.
-
(2000)
IEEE Transactions on Electron Devices
, vol.47
, Issue.7
, pp. 1393-1400
-
-
Henson, W.K.1
-
363
-
-
0036508201
-
CMOS design near the limit of scaling
-
March/May
-
Y. Taur, "CMOS Design Near the Limit of Scaling", IBM Journal of Research and Development, Vol. 46, No. 2/3, pp. 213-221, March/May 2002.
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.2-3
, pp. 213-221
-
-
Taur, Y.1
|