메뉴 건너뛰기




Volumn 98, Issue 10, 2010, Pages 1718-1751

Parameter variation tolerance and error resiliency: New design paradigm for the nanoscale era

Author keywords

Digital signal processing; graceful quality degradation; low power; process variations; process tolerant design; reliability; robust design; voltage scaling

Indexed keywords

DEGRADATION; DIGITAL SIGNAL PROCESSING; INTEGRATED CIRCUIT DESIGN; RELIABILITY; VOLTAGE SCALING;

EID: 77957012605     PISSN: 00189219     EISSN: None     Source Type: Journal    
DOI: 10.1109/JPROC.2010.2057230     Document Type: Article
Times cited : (155)

References (170)
  • 1
    • 0042912833 scopus 로고    scopus 로고
    • Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs
    • Sep.
    • A. Asenov, A. R. Brown, J. H. Davies, S. Kaya, and G. Slavcheva, "Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs" IEEE Trans. Electron Devices, vol.50, no.9, pp. 1837-1852, Sep. 2003.
    • (2003) IEEE Trans. Electron Devices , vol.50 , Issue.9 , pp. 1837-1852
    • Asenov, A.1    Brown, A.R.2    Davies, J.H.3    Kaya, S.4    Slavcheva, G.5
  • 3
    • 0034833288 scopus 로고    scopus 로고
    • Modeling and analysis of manufacturing variations
    • S. R. Nassif, "Modeling and analysis of manufacturing variations" in Proc. Custom Integrated Circuit Conf., 2001, pp. 223-228.
    • (2001) Proc. Custom Integrated Circuit Conf. , pp. 223-228
    • Nassif, S.R.1
  • 6
    • 0035308547 scopus 로고    scopus 로고
    • The impact of intrinsic device fluctuations on CMOS SRAM cell stability
    • Apr.
    • A. Bhavnagarwala, X. Tang, and J. D. Meindl, "The impact of intrinsic device fluctuations on CMOS SRAM cell stability" IEEE J. Solid State Circuits, vol.36, no.4, pp. 658-665, Apr. 2001.
    • (2001) IEEE J. Solid State Circuits , vol.36 , Issue.4 , pp. 658-665
    • Bhavnagarwala, A.1    Tang, X.2    Meindl, J.D.3
  • 7
    • 0031365880 scopus 로고    scopus 로고
    • Intrinsic MOSFET parameter fluctuations due to random dopant placement
    • Dec.
    • X. Tang, V. De, and J. D. Meindl, "Intrinsic MOSFET parameter fluctuations due to random dopant placement" Trans. Very Large Scale Integr.(VLSI) Syst., vol.5, no.4, pp. 369-376, Dec. 1997.
    • (1997) Trans. Very Large Scale Integr.(VLSI) Syst. , vol.5 , Issue.4 , pp. 369-376
    • Tang, X.1    De, V.2    Meindl, J.D.3
  • 9
    • 34548138865 scopus 로고    scopus 로고
    • Assessing the implications of process variations on future carbon nanotube bundle interconnect solutions
    • A. Nieuwoudt and Y. Massoud, "Assessing the implications of process variations on future carbon nanotube bundle interconnect solutions" in Proc. Int. Symp. Quality Electron. Design, 2007, pp. 119-126.
    • (2007) Proc. Int. Symp. Quality Electron. Design , pp. 119-126
    • Nieuwoudt, A.1    Massoud, Y.2
  • 10
    • 34547287170 scopus 로고    scopus 로고
    • Automated design of misaligned-carbon-nanotube-immune circuits
    • N. Patil, J. Deng, H. S. P. Wong, and S. Mitra, "Automated design of misaligned-carbon-nanotube-immune circuits" in Proc. Design Autom. Conf., 2007, pp. 958-961.
    • (2007) Proc. Design Autom. Conf. , pp. 958-961
    • Patil, N.1    Deng, J.2    Wong, H.S.P.3    Mitra, S.4
  • 11
    • 70350727154 scopus 로고    scopus 로고
    • Carbon nanotube circuits in the presence of carbon nanotube density variations
    • J. Zhang, N. Patil, A. Hazeghi, and S. Mitra, "Carbon nanotube circuits in the presence of carbon nanotube density variations" in Proc. Design Autom. Conf., 2009, pp. 71-76.
    • (2009) Proc. Design Autom. Conf. , pp. 71-76
    • Zhang, J.1    Patil, N.2    Hazeghi, A.3    Mitra, S.4
  • 12
    • 66549104212 scopus 로고    scopus 로고
    • Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis
    • S. Bobba, J. Zhang, A. Pullini, D. Atienza, and G. D. Micheli, "Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis" in Proc. Design Autom. Test Eur., 2009, pp. 616-621.
    • (2009) Proc. Design Autom. Test Eur. , pp. 616-621
    • Bobba, S.1    Zhang, J.2    Pullini, A.3    Atienza, D.4    Micheli, G.D.5
  • 14
    • 0003815341 scopus 로고    scopus 로고
    • Managing the impact of increasing microprocessor power consumption
    • S. H. Gunther, F. Binns, D. M. Carmean, and J. C. Hall, "Managing the impact of increasing microprocessor power consumption" Intel Tech. J., pp. 1-9, 2001.
    • (2001) Intel Tech. J. , pp. 1-9
    • Gunther, S.H.1    Binns, F.2    Carmean, D.M.3    Hall, J.C.4
  • 15
    • 20344388361 scopus 로고
    • Characteristics of the surface-state charge (Qss) of thermally oxidized silicon
    • B. E. Deal, M. Sklar, A. S. Grove, and E. H. Snow, "Characteristics of the surface-state charge (Qss) of thermally oxidized silicon" J. Electrochem. Soc., vol.114, pp. 266-273, 1967.
    • (1967) J. Electrochem. Soc. , vol.114 , pp. 266-273
    • Deal, B.E.1    Sklar, M.2    Grove, A.S.3    Snow, E.H.4
  • 17
    • 36449005547 scopus 로고
    • Mechanism of negative bias temperature instability
    • C. E. Blat, E. H. Nicollian, and E. H. Poindexter, "Mechanism of negative bias temperature instability" J. Appl. Phys., vol.69, pp. 1712-1720, 1991.
    • (1991) J. Appl. Phys. , vol.69 , pp. 1712-1720
    • Blat, C.E.1    Nicollian, E.H.2    Poindexter, E.H.3
  • 18
    • 12844262199 scopus 로고    scopus 로고
    • Dynamic bias-temperature instability in ultrathin SiO2 and HfO2 metal-oxide semiconductor field effect transistors and its impact on device lifetime
    • Nov.
    • M. F. Li, G. Chen, C. Shen, X. P. Wang, H. Y. Yu, Y. Yeo, and D. L. Kwong, "Dynamic bias-temperature instability in ultrathin SiO2 and HfO2 metal-oxide semiconductor field effect transistors and its impact on device lifetime" Jpn. J. Appl. Phys., vol.43, pp. 7807-7814, Nov. 2004.
    • (2004) Jpn. J. Appl. Phys. , vol.43 , pp. 7807-7814
    • Li, M.F.1    Chen, G.2    Shen, C.3    Wang, X.P.4    Yu, H.Y.5    Yeo, Y.6    Kwong, D.L.7
  • 20
    • 19944418828 scopus 로고    scopus 로고
    • Positive bias temperature instability in nMOSFETs with ultra-thin Hf-silicate gate dielectrics
    • F. Crupi, C. Pace, G. Cocorullo, G. Groeseneken, M. Aoulaiche, and M. Houssa, "Positive bias temperature instability in nMOSFETs with ultra-thin Hf-silicate gate dielectrics" J. Microelectron. Eng., vol.80, pp. 130-133, 2005.
    • (2005) J. Microelectron. Eng. , vol.80 , pp. 130-133
    • Crupi, F.1    Pace, C.2    Cocorullo, G.3    Groeseneken, G.4    Aoulaiche, M.5    Houssa, M.6
  • 24
    • 64549131250 scopus 로고    scopus 로고
    • Adaptive techniques for overcoming performance degradation due to aging in digital circuits
    • S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, "Adaptive techniques for overcoming performance degradation due to aging in digital circuits" in Proc. Asia-South Pacific Design Autom. Conf., 2009, pp. 284-289.
    • (2009) Proc. Asia-South Pacific Design Autom. Conf. , pp. 284-289
    • Kumar, S.V.1    Kim, C.H.2    Sapatnekar, S.S.3
  • 26
    • 33748584309 scopus 로고    scopus 로고
    • Mathematically-assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems
    • S. V. Kumar, C. H. Kim, and S. Sapatnekar, "Mathematically-assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems" in Proc. Asia-South Pacific Design Autom. Conf., 2006, pp. 559-564.
    • (2006) Proc. Asia-South Pacific Design Autom. Conf. , pp. 559-564
    • Kumar, S.V.1    Kim, C.H.2    Sapatnekar, S.3
  • 27
    • 39749184704 scopus 로고    scopus 로고
    • Body bias voltage computations for process and temperature compensation
    • Mar.
    • S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, "Body bias voltage computations for process and temperature compensation" IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol.16, no.3, pp. 249-262, Mar. 2008.
    • (2008) IEEE Trans. Very Large Scale Integr.(VLSI) Syst. , vol.16 , Issue.3 , pp. 249-262
    • Kumar, S.V.1    Kim, C.H.2    Sapatnekar, S.S.3
  • 29
    • 49549104682 scopus 로고    scopus 로고
    • Compact in-situ sensors for monitoring negative-bias-temperature- instability effect and oxide degradation
    • E. Karl, P. Singh, D. Blaauw, and D. Sylvester, "Compact in-situ sensors for monitoring negative-bias-temperature-instability effect and oxide degradation" in Proc. Int. Solid-State Circuits Conf., 2008, pp. 410-623.
    • (2008) Proc. Int. Solid-State Circuits Conf. , pp. 410-623
    • Karl, E.1    Singh, P.2    Blaauw, D.3    Sylvester, D.4
  • 31
    • 49549122051 scopus 로고    scopus 로고
    • An efficient method to identify critical gates under circuit aging
    • W. Wang, Z. Wei, S. Yang, and Y. Cao, "An efficient method to identify critical gates under circuit aging" in Proc. Int. Conf. Comput.-Aided Design, 2007, pp. 735-740.
    • (2007) Proc. Int. Conf. Comput.-Aided Design , pp. 735-740
    • Wang, W.1    Wei, Z.2    Yang, S.3    Cao, Y.4
  • 32
    • 36949009341 scopus 로고    scopus 로고
    • Efficient transistor-level sizing technique under temporal performance degradation due to NBTI
    • K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, "Efficient transistor-level sizing technique under temporal performance degradation due to NBTI" in Proc. Int. Conf. Comput. Design, 2006, pp. 216-221.
    • (2006) Proc. Int. Conf. Comput. Design , pp. 216-221
    • Kang, K.1    Kufluoglu, H.2    Alam, M.A.3    Roy, K.4
  • 34
    • 34047187067 scopus 로고    scopus 로고
    • Temporal performance degradation under NBTI: Estimation and design for improved reliability of nanoscale circuits
    • B. C. Paul, K. Kang, H. Kuflouglu, M. A. Alam, and K. Roy, "Temporal performance degradation under NBTI: Estimation and design for improved reliability of nanoscale circuits" in Proc. Design Autom. Test Eur., 2006, pp. 780-785.
    • (2006) Proc. Design Autom. Test Eur. , pp. 780-785
    • Paul, B.C.1    Kang, K.2    Kuflouglu, H.3    Alam, M.A.4    Roy, K.5
  • 35
    • 23844466920 scopus 로고    scopus 로고
    • Impact of NBTI on the temporal performance degradation of digital circuits
    • Aug.
    • B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, "Impact of NBTI on the temporal performance degradation of digital circuits" IEEE Electron Device Lett., vol.26, no.8, pp. 560-562, Aug. 2005.
    • (2005) IEEE Electron Device Lett. , vol.26 , Issue.8 , pp. 560-562
    • Paul, B.C.1    Kang, K.2    Kufluoglu, H.3    Alam, M.A.4    Roy, K.5
  • 36
    • 39749183560 scopus 로고    scopus 로고
    • Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ
    • K. Kang, M. A. Alam, and K. Roy, "Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ" in Proc. Int. Test Conf., 2007, pp. 1-10.
    • (2007) Proc. Int. Test Conf. , pp. 1-10
    • Kang, K.1    Alam, M.A.2    Roy, K.3
  • 37
    • 50249171807 scopus 로고    scopus 로고
    • Estimation of statistical variation in temporal NBTI degradation and its impact in lifetime circuit performance
    • K. Kang, S. P. Park, K. Roy, and M. A. Alam, "Estimation of statistical variation in temporal NBTI degradation and its impact in lifetime circuit performance" in Proc. Int. Conf. Comput.-Aided Design, 2007, pp. 730-734.
    • (2007) Proc. Int. Conf. Comput.-Aided Design , pp. 730-734
    • Kang, K.1    Park, S.P.2    Roy, K.3    Alam, M.A.4
  • 38
    • 49549087051 scopus 로고    scopus 로고
    • NBTI induced performance degradation in logic and memory circuits: How effectively can we approach a reliability solution?
    • K. Kang, S. Gangwal, S. P. Park, and K. Roy, "NBTI induced performance degradation in logic and memory circuits: How effectively can we approach a reliability solution?" in Proc. Asia South Pacific Design Autom. Conf., 2008, pp. 726-731.
    • (2008) Proc. Asia South Pacific Design Autom. Conf. , pp. 726-731
    • Kang, K.1    Gangwal, S.2    Park, S.P.3    Roy, K.4
  • 43
    • 0033726122 scopus 로고    scopus 로고
    • Unified model for Q(BD) prediction for thin gate oxide MOS devices with constant voltage and current stress
    • May 2000
    • M. T. Quddus, T. A. DeMassa, and J. J. Sanchez, "Unified model for Q(BD) prediction for thin gate oxide MOS devices with constant voltage and current stress" Microelectron. Eng. 2000, vol.51-52, pp. 357-372, May 2000.
    • (2000) Microelectron. Eng. , vol.51-52 , pp. 357-372
    • Quddus, M.T.1    Demassa, T.A.2    Sanchez, J.J.3
  • 45
    • 0028448170 scopus 로고
    • Failure mechanism models for electromigration
    • Jun.
    • D. Young and A. Christou, "Failure mechanism models for electromigration" IEEE Trans. Rel., vol.43, no.2, pp. 186-192, Jun. 1994.
    • (1994) IEEE Trans. Rel. , vol.43 , Issue.2 , pp. 186-192
    • Young, D.1    Christou, A.2
  • 47
    • 0035301566 scopus 로고    scopus 로고
    • Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits
    • Apr.
    • P. Pant, R. Roy, and A. Chatterjee, "Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits" IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol.9, no.2, pp. 390-394, Apr. 2001.
    • (2001) IEEE Trans. Very Large Scale Integr.(VLSI) Syst. , vol.9 , Issue.2 , pp. 390-394
    • Pant, P.1    Roy, R.2    Chatterjee, A.3
  • 48
    • 0031635596 scopus 로고    scopus 로고
    • Design and optimization of low voltage high performance dual threshold CMOS circuits
    • L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De, "Design and optimization of low voltage high performance dual threshold CMOS circuits" in Proc. Design Autom. Conf., 1998, pp. 489-494.
    • (1998) Proc. Design Autom. Conf. , pp. 489-494
    • Wei, L.1    Chen, Z.2    Johnson, M.3    Roy, K.4    De, V.5
  • 49
    • 0036045143 scopus 로고    scopus 로고
    • Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors
    • T. Karnik, Y. Ye, J. Tschanz, L. Wei, S. Burns, V. Govindarajulu, V. De, and S. Borkar, "Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors" in Proc. Design Autom. Conf., 2002, pp. 486-491.
    • (2002) Proc. Design Autom. Conf. , pp. 486-491
    • Karnik, T.1    Ye, Y.2    Tschanz, J.3    Wei, L.4    Burns, S.5    Govindarajulu, V.6    De, V.7    Borkar, S.8
  • 51
    • 1542269353 scopus 로고    scopus 로고
    • Simultaneous Vt selection and assignment for leakage optimization
    • A. Srivastava, "Simultaneous Vt selection and assignment for leakage optimization" in Proc. Int. Symp. Low-Power Electron. Design, 2003, pp. 146-151.
    • (2003) Proc. Int. Symp. Low-Power Electron. Design , pp. 146-151
    • Srivastava, A.1
  • 53
    • 4444277442 scopus 로고    scopus 로고
    • Statistical optimization of leakage power considering process variations using dual-VTH and sizing
    • A. Srivastava, D. Sylvester, D. Blauuw, and A. Agarwal, "Statistical optimization of leakage power considering process variations using dual-VTH and sizing" in Proc. Design Autom. Conf., 2004, pp. 773-778.
    • (2004) Proc. Design Autom. Conf. , pp. 773-778
    • Srivastava, A.1    Sylvester, D.2    Blauuw, D.3    Agarwal, A.4
  • 54
  • 56
    • 4444264520 scopus 로고    scopus 로고
    • Novel sizing algorithm for yield improvement under process variation in nanometer
    • S. H. Choi, ". C. Paul, and K. Roy, "Novel sizing algorithm for yield improvement under process variation in nanometer" in Proc. Design Autom. Conf., 2004, pp. 454-459.
    • (2004) Proc. Design Autom. Conf. , pp. 454-459
    • Choi, S.H.1    Paul, C.2    Roy, K.3
  • 57
  • 59
    • 4444272791 scopus 로고    scopus 로고
    • Design and reliability challenges in nanometer technologies
    • S. Borkar, T. Karnik, and V. De, "Design and reliability challenges in nanometer technologies" in Proc. Design Autom. Conf., 2004, p. 75.
    • (2004) Proc. Design Autom. Conf. , pp. 75
    • Borkar, S.1    Karnik, T.2    De, V.3
  • 60
    • 57549087415 scopus 로고    scopus 로고
    • Variation-aware gate sizing and clustering for post-silicon optimized circuits
    • C. Zhuo, D. Blaauw, and D. Sylvester, "Variation-aware gate sizing and clustering for post-silicon optimized circuits" in Proc. Int. Symp. Low Power Electron. Design, 2008, pp. 105-110.
    • (2008) Proc. Int. Symp. Low Power Electron. Design , pp. 105-110
    • Zhuo, C.1    Blaauw, D.2    Sylvester, D.3
  • 61
  • 62
    • 46149117523 scopus 로고    scopus 로고
    • Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization
    • M. Mani, A. Singh, and M. Orshansky, "Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization" in Proc. Int. Conf. Comput.-Aided Design, 2006, pp. 19-26.
    • (2006) Proc. Int. Conf. Comput.-Aided Design , pp. 19-26
    • Mani, M.1    Singh, A.2    Orshansky, M.3
  • 63
    • 57549107330 scopus 로고    scopus 로고
    • Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation
    • V. Khandelwal and A. Srivastava, "Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation" in Proc. Int. Symp. Phys. Design, 2006, pp. 17-25.
    • (2006) Proc. Int. Symp. Phys. Design , pp. 17-25
    • Khandelwal, V.1    Srivastava, A.2
  • 65
    • 49549122926 scopus 로고    scopus 로고
    • Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance
    • K. A. Bowman, J. W. Tschanz, N. S. Kim, J. C. Lee, C. B. Wilkerson, S. L. Lu, T. Karnik, and V. K. De, "Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance" in Proc. Int. Solid-State Circuits Conf., 2008, pp. 402-623.
    • (2008) Proc. Int. Solid-State Circuits Conf. , pp. 402-623
    • Bowman, K.A.1    Tschanz, J.W.2    Kim, N.S.3    Lee, J.C.4    Wilkerson, C.B.5    Lu, S.L.6    Karnik, T.7    De, V.K.8
  • 67
    • 1842582489 scopus 로고    scopus 로고
    • Making typical silicon matter with RAZOR
    • Mar.
    • T. Austin, D. Blaauw, T. Mudge, and K. Flautner, "Making typical silicon matter with RAZOR" IEEE Comput., vol.37, no.3, pp. 57-65, Mar. 2004.
    • (2004) IEEE Comput. , vol.37 , Issue.3 , pp. 57-65
    • Austin, T.1    Blaauw, D.2    Mudge, T.3    Flautner, K.4
  • 68
    • 15044339297 scopus 로고    scopus 로고
    • RAZOR: Circuit-level correction of timing errors for low-power operation
    • Nov./Dec.
    • D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N. S. Kim, and K. Flautner, "RAZOR: Circuit-level correction of timing errors for low-power operation" IEEE Micro, vol.24, no.6, pp. 10-20, Nov./Dec. 2004.
    • (2004) IEEE Micro , vol.24 , Issue.6 , pp. 10-20
    • Ernst, D.1    Das, S.2    Lee, S.3    Blaauw, D.4    Austin, T.5    Mudge, T.6    Kim, N.S.7    Flautner, K.8
  • 69
  • 70
    • 40349098498 scopus 로고    scopus 로고
    • Mitigating the impact of process variations on processor register files and execution units
    • X. Liang and D. Brooks, "Mitigating the impact of process variations on processor register files and execution units" in Proc. Int. Symp. Microarchitecture, 2006, pp. 504-514.
    • (2006) Proc. Int. Symp. Microarchitecture , pp. 504-514
    • Liang, X.1    Brooks, D.2
  • 72
    • 52649164769 scopus 로고    scopus 로고
    • ReVIVaL: A variation tolerant architecture using voltage interpolation and variable latency
    • X. Liang, G.-Y. Wei, and D. Brooks, "ReVIVaL: A variation tolerant architecture using voltage interpolation and variable latency" in Proc. Int. Symp. Comput. Architecture, 2008, pp. 191-202.
    • (2008) Proc. Int. Symp. Comput. Architecture , pp. 191-202
    • Liang, X.1    Wei, G.-Y.2    Brooks, D.3
  • 73
    • 49549096924 scopus 로고    scopus 로고
    • A process-variation-tolerant floating-point unit with voltage interpolation and variable latency
    • X. Liang, D. Brooks, and G.-Y. Wei, "A process-variation-tolerant floating-point unit with voltage interpolation and variable latency" in Proc. Int. Solid-State Circuits Conf., 2008, pp. 404-405.
    • (2008) Proc. Int. Solid-State Circuits Conf. , pp. 404-405
    • Liang, X.1    Brooks, D.2    Wei, G.-Y.3
  • 74
    • 54249104938 scopus 로고    scopus 로고
    • CRISTA: A new paradigm for low-power and robust circuit synthesis under parameter variations using critical path isolation
    • Nov.
    • S. Ghosh, S. Bhunia, and K. Roy, "CRISTA: A new paradigm for low-power and robust circuit synthesis under parameter variations using critical path isolation" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.26, no.11, pp. 1947-1956, Nov. 2007.
    • (2007) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol.26 , Issue.11 , pp. 1947-1956
    • Ghosh, S.1    Bhunia, S.2    Roy, K.3
  • 75
    • 0036047839 scopus 로고    scopus 로고
    • Reliable and energy-efficient digital signal processing
    • N. R. Shanbhag, "Reliable and energy-efficient digital signal processing" in Proc. Design Autom. Conf., 2002, pp. 830-835.
    • (2002) Proc. Design Autom. Conf. , pp. 830-835
    • Shanbhag, N.R.1
  • 81
    • 33646909654 scopus 로고    scopus 로고
    • Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100 nm technologies
    • A. Datta, S. Bhunia, S. Mukhopadhyay, N. Banerjee, and K. Roy, "Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100 nm technologies" in Proc. Design Autom. Test Eur., 2005, pp. 926-931.
    • (2005) Proc. Design Autom. Test Eur. , pp. 926-931
    • Datta, A.1    Bhunia, S.2    Mukhopadhyay, S.3    Banerjee, N.4    Roy, K.5
  • 82
    • 33645803195 scopus 로고    scopus 로고
    • A statistical approach to area-constrained yield enhancement for pipelined circuits under parameter variations
    • A. Datta, S. Bhunia, S. Mukhopadhyay, and K. Roy, "A statistical approach to area-constrained yield enhancement for pipelined circuits under parameter variations" in Proc. Asian Test Symp., 2005, pp. 170-175.
    • (2005) Proc. Asian Test Symp. , pp. 170-175
    • Datta, A.1    Bhunia, S.2    Mukhopadhyay, S.3    Roy, K.4
  • 84
    • 16244421701 scopus 로고    scopus 로고
    • A probabilistic framework to estimate xfull-chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations
    • S. Zhang, V. Wason, and K. Banerjee, "A probabilistic framework to estimate xfull-chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations" in Proc. Int. Symp. Low Power Electron. Design, 2004, pp. 156-161.
    • (2004) Proc. Int. Symp. Low Power Electron. Design , pp. 156-161
    • Zhang, S.1    Wason, V.2    Banerjee, K.3
  • 86
    • 33751441014 scopus 로고    scopus 로고
    • Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations
    • A. Agrawal, K. Kang, and K. Roy, "Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations" in Proc. Int. Conf. Comput.-Aided Design, 2005, pp. 736-741.
    • (2005) Proc. Int. Conf. Comput.-Aided Design , pp. 736-741
    • Agrawal, A.1    Kang, K.2    Roy, K.3
  • 87
    • 0036908379 scopus 로고    scopus 로고
    • 3-D thermal-ADI: A linear-time chip level transient thermal simulator
    • Dec.
    • T.-Y. Wang and C. C.-P. Chen, "3-D thermal-ADI: A linear-time chip level transient thermal simulator" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.21, no.12, pp. 1434-1445, Dec. 2002.
    • (2002) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol.21 , Issue.12 , pp. 1434-1445
    • Wang, T.-Y.1    Chen, C.C.-P.2
  • 90
    • 0032139246 scopus 로고    scopus 로고
    • ILLIADS-T: An electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips
    • Aug.
    • Y. Cheng, P. Raha, C. Teng, E. Rosenbaum, and S. Kang, "ILLIADS-T: An electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips" IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol.17, no.8, pp. 668-681, Aug. 1998.
    • (1998) IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. , vol.17 , Issue.8 , pp. 668-681
    • Cheng, Y.1    Raha, P.2    Teng, C.3    Rosenbaum, E.4    Kang, S.5
  • 93
    • 33750969691 scopus 로고    scopus 로고
    • Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters
    • K. Kang, ". C. Paul, and K. Roy, "Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters" ACM Trans. Design Autom. Electron. Syst., pp. 848-879, 2006.
    • (2006) ACM Trans. Design Autom. Electron. Syst. , pp. 848-879
    • Kang, K.1    Paul, S.C.2    Roy, K.3
  • 95
    • 29144526605 scopus 로고    scopus 로고
    • Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS
    • Dec.
    • S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.24, no.12, pp. 1859-1880, Dec. 2005.
    • (2005) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol.24 , Issue.12 , pp. 1859-1880
    • Mukhopadhyay, S.1    Mahmoodi, H.2    Roy, K.3
  • 96
    • 0023437909 scopus 로고
    • Static-noise margin analysis of MOS SRAM cells
    • Oct.
    • E. Seevinck, F. J. List, and J. Lohstroh, "Static-noise margin analysis of MOS SRAM cells" IEEE J. Solid State Circuits, vol.22, no.5, pp. 748-754, Oct. 1987.
    • (1987) IEEE J. Solid State Circuits , vol.22 , Issue.5 , pp. 748-754
    • Seevinck, E.1    List, F.J.2    Lohstroh, J.3
  • 98
    • 33847133368 scopus 로고    scopus 로고
    • Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring
    • S. Mukhopadhyay, K. Kang, H. Mahmoodi, and K. Roy, "Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring" in Proc. Int. Test Conf., 2005, pp. 1-10.
    • (2005) Proc. Int. Test Conf. , pp. 1-10
    • Mukhopadhyay, S.1    Kang, K.2    Mahmoodi, H.3    Roy, K.4
  • 99
    • 34547226726 scopus 로고    scopus 로고
    • Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM
    • S. Ghosh, S. Mukhopadhyay, K. Kim, and K. Roy, "Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM" in Proc. Design Autom. Conf., 2006, pp. 971-976.
    • (2006) Proc. Design Autom. Conf. , pp. 971-976
    • Ghosh, S.1    Mukhopadhyay, S.2    Kim, K.3    Roy, K.4
  • 101
    • 33847724635 scopus 로고    scopus 로고
    • A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation
    • Mar.
    • B. H. Calhoun and A. Chandrakasan, "A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation" IEEE J. Solid State Circuits, vol.42, no.3, pp. 680-688, Mar. 2007.
    • (2007) IEEE J. Solid State Circuits , vol.42 , Issue.3 , pp. 680-688
    • Calhoun, B.H.1    Chandrakasan, A.2
  • 102
    • 59349118349 scopus 로고    scopus 로고
    • A 32 kb 10 T Sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
    • Feb.
    • I. Chang, J. J. Kim, S. P. Park, and K. Roy, "A 32 kb 10 T Sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS" IEEE J. Solid State Circuits, vol.44, no.2, pp. 650-658, Feb. 2008.
    • (2008) IEEE J. Solid State Circuits , vol.44 , Issue.2 , pp. 650-658
    • Chang, I.1    Kim, J.J.2    Park, S.P.3    Roy, K.4
  • 103
    • 51549097605 scopus 로고    scopus 로고
    • Process variation tolerant SRAM design for ultra low voltage application
    • J. P. Kulkarni, K. Kim, S. Park, and K. Roy, "Process variation tolerant SRAM design for ultra low voltage application" in Proc. Design Autom. Conf., 2008, pp. 108-113.
    • (2008) Proc. Design Autom. Conf. , pp. 108-113
    • Kulkarni, J.P.1    Kim, K.2    Park, S.3    Roy, K.4
  • 104
    • 34748830993 scopus 로고    scopus 로고
    • A 160 mV robust Schmitt trigger based subthreshold SRAM
    • Oct.
    • J. P. Kulkarni, K. Kim, and K. Roy, "A 160 mV robust Schmitt trigger based subthreshold SRAM" IEEE J. Solid State Circuits, vol.42, no.10, pp. 2303-2313, Oct. 2007.
    • (2007) IEEE J. Solid State Circuits , vol.42 , Issue.10 , pp. 2303-2313
    • Kulkarni, J.P.1    Kim, K.2    Roy, K.3
  • 115
    • 38849084539 scopus 로고    scopus 로고
    • A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing
    • Feb.
    • T. Kim, J. Liu, J. Keane, and C. H. Kim, "A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing" IEEE J. Solid State Circuits, vol.43, no.2, pp. 518-529, Feb. 2008.
    • (2008) IEEE J. Solid State Circuits , vol.43 , Issue.2 , pp. 518-529
    • Kim, T.1    Liu, J.2    Keane, J.3    Kim, C.H.4
  • 117
    • 0036504519 scopus 로고    scopus 로고
    • Power4 system design for high reliability
    • Mar./Apr.
    • D. C. Bossen, J. M. Tendler, and K. Reick, "Power4 system design for high reliability" IEEE Micro, vol.22, no.2, pp. 16-24, Mar./Apr. 2002.
    • (2002) IEEE Micro , vol.22 , Issue.2 , pp. 16-24
    • Bossen, D.C.1    Tendler, J.M.2    Reick, K.3
  • 119
    • 57549095612 scopus 로고    scopus 로고
    • O2C: Occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors
    • S. Ghosh, J. H. Choi, P. Ndai, and K. Roy, "O2C: Occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors" in Proc. Int. Symp. Low Power Electron. Design, 2008, pp. 189-192.
    • (2008) Proc. Int. Symp. Low Power Electron. Design , pp. 189-192
    • Ghosh, S.1    Choi, J.H.2    Ndai, P.3    Roy, K.4
  • 120
    • 34547379312 scopus 로고    scopus 로고
    • Variation resilient low-power circuit design methodology using on-chip phase locked loop
    • K. Kang, K. Kim, and K. Roy, "Variation resilient low-power circuit design methodology using on-chip phase locked loop" in Proc. Design Autom. Conf., 2007, pp. 934-939.
    • (2007) Proc. Design Autom. Conf. , pp. 934-939
    • Kang, K.1    Kim, K.2    Roy, K.3
  • 122
    • 50249113322 scopus 로고    scopus 로고
    • Design methodology to trade-off power, output quality and error resiliency: Application to color interpolation filtering
    • G. Karakonstantis, N. Banerjee, K. Roy, and C. Chakrabarty, "Design methodology to trade-off power, output quality and error resiliency: Application to color interpolation filtering" in Proc. Int. Conf. Comput.-Aided Design, 2007, pp. 199-204.
    • (2007) Proc. Int. Conf. Comput.-Aided Design , pp. 199-204
    • Karakonstantis, G.1    Banerjee, N.2    Roy, K.3    Chakrabarty, C.4
  • 123
    • 36949037268 scopus 로고    scopus 로고
    • A process variation aware low power synthesis methodology for fixed-point FIR filters
    • N. Banerjee, J. H. Choi, and K. Roy, "A process variation aware low power synthesis methodology for fixed-point FIR filters" in Proc. Int. Symp. Low Power Electron. Design, 2007, pp. 147-152.
    • (2007) Proc. Int. Symp. Low Power Electron. Design , pp. 147-152
    • Banerjee, N.1    Choi, J.H.2    Roy, K.3
  • 124
    • 36949017858 scopus 로고    scopus 로고
    • Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits
    • T. Kim, R. Persaud, and C. H. Kim, "Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits" in Proc. Very Large Scale Integr. (VLSI) Circuits Symp., 2007, pp. 122-123.
    • (2007) Proc. Very Large Scale Integr. (VLSI) Circuits Symp. , pp. 122-123
    • Kim, T.1    Persaud, R.2    Kim, C.H.3
  • 128
    • 36048965581 scopus 로고    scopus 로고
    • Architecture-level soft error analysis: Examining the limits of common assumptions
    • X. Li, S. V. Adve, P. Bose, and J. A. Rivers, "Architecture-level soft error analysis: Examining the limits of common assumptions" in Proc. Int. Conf. Dependable Syst. Netw., 2007, pp. 266-275.
    • (2007) Proc. Int. Conf. Dependable Syst. Netw. , pp. 266-275
    • Li, X.1    Adve, S.V.2    Bose, P.3    Rivers, J.A.4
  • 129
    • 44949257194 scopus 로고    scopus 로고
    • Ph.D. dissertation, Comput. Sci. Dept., Univ. Illinois at Urbana-Champaign, Urbana, IL, May
    • J. Srinivasan, "Lifetime reliability aware microprocessors" Ph.D. dissertation, Comput. Sci. Dept., Univ. Illinois at Urbana-Champaign, Urbana, IL, May 2006.
    • (2006) Lifetime Reliability Aware Microprocessors
    • Srinivasan, J.1
  • 132
    • 0032510985 scopus 로고    scopus 로고
    • A defect-tolerant computer architecture: Opportunities for nanotechnology
    • J. R. Heath, P. Kuekes, G. Snider, and S. Williams, "A defect-tolerant computer architecture: Opportunities for nanotechnology" Science, pp. 1716-1721, 1998.
    • (1998) Science , pp. 1716-1721
    • Heath, J.R.1    Kuekes, P.2    Snider, G.3    Williams, S.4
  • 133
    • 0033321638 scopus 로고    scopus 로고
    • DIVA: A reliable substrate for deep submicron microarchitecture design
    • T. M. Austin, "DIVA: A reliable substrate for deep submicron microarchitecture design" in Proc. Int. Symp. Microarchitecture (MICRO), 1999, pp. 196-207.
    • (1999) Proc. Int. Symp. Microarchitecture (MICRO) , pp. 196-207
    • Austin, T.M.1
  • 134
    • 49549119735 scopus 로고    scopus 로고
    • Reliable systems on unreliable fabrics
    • Jul./Aug.
    • T. Austin, V. Bertacco, S. Mahlke, and K. Cao, "Reliable systems on unreliable fabrics" IEEE Design Test Comput., vol.25, no.4, pp. 322-332, Jul./Aug. 2008.
    • (2008) IEEE Design Test Comput. , vol.25 , Issue.4 , pp. 322-332
    • Austin, T.1    Bertacco, V.2    Mahlke, S.3    Cao, K.4
  • 136
    • 47349110547 scopus 로고    scopus 로고
    • Software-based on-line detection of hardware defects: Mechanisms, architectural support, and evaluation
    • K. Constantinides, O. Mutlu, T. Austin, and V. Bertacco, "Software-based on-line detection of hardware defects: Mechanisms, architectural support, and evaluation" in Proc. Int. Symp. Microarchitecture, 2007, pp. 97-108.
    • (2007) Proc. Int. Symp.Microarchitecture , pp. 97-108
    • Constantinides, K.1    Mutlu, O.2    Austin, T.3    Bertacco, V.4
  • 139
    • 33749413197 scopus 로고    scopus 로고
    • A mechanism for online diagnosis of hard faults in microprocessors
    • F. A. Bower, D. J. Sorin, and S. Ozev, "A mechanism for online diagnosis of hard faults in microprocessors" in Proc. Int. Symp. Microarchitecture, 2005, pp. 197-208.
    • (2005) Proc. Int. Symp. Microarchitecture , pp. 197-208
    • Bower, F.A.1    Sorin, D.J.2    Ozev, S.3
  • 140
    • 27544488444 scopus 로고    scopus 로고
    • Microarchitecture based introspection: A technique for transient-fault tolerance in microprocessors
    • M. K. Qureshi, O. Mutlu, and Y. N. Patt, "Microarchitecture based introspection: A technique for transient-fault tolerance in microprocessors" in Proc. Int. Conf. Dependable Syst. Netw., 2005, pp. 434-443.
    • (2005) Proc. Int. Conf. Dependable Syst. Netw. , pp. 434-443
    • Qureshi, M.K.1    Mutlu, O.2    Patt, Y.N.3
  • 146
    • 0242332710 scopus 로고    scopus 로고
    • Sensitivity of double-gate and FinFET devices to process variations
    • Nov.
    • S. Xiong and J. Bokor, "Sensitivity of double-gate and FinFET devices to process variations" IEEE Trans. Electron Devices, vol.50, no.11, pp. 2255-2261, Nov. 2003.
    • (2003) IEEE Trans. Electron Devices , vol.50 , Issue.11 , pp. 2255-2261
    • Xiong, S.1    Bokor, J.2
  • 148
    • 41749091851 scopus 로고    scopus 로고
    • Impact of a process variation on nanowire and nanotube device performance
    • Sep.
    • B. Paul, S. Fujita, M. Okajima, T. H. Lee, H.-S. P. Wong, and Y. Nishi, "Impact of a process variation on nanowire and nanotube device performance" IEEE Trans. Electron Devices, vol.54, no.9, pp. 2369-2376, Sep. 2007.
    • (2007) IEEE Trans. Electron Devices , vol.54 , Issue.9 , pp. 2369-2376
    • Paul, B.1    Fujita, S.2    Okajima, M.3    Lee, T.H.4    Wong, H.-S.P.5    Nishi, Y.6
  • 149
    • 33947641552 scopus 로고    scopus 로고
    • On the impact of process variations for carbon nanotube bundles for VLSI interconnect
    • Mar.
    • A. Nieuwoudt and Y. Massoud, "On the impact of process variations for carbon nanotube bundles for VLSI interconnect" IEEE Trans. Electron Devices, vol.54, no.3, pp. 446-455, Mar. 2007.
    • (2007) IEEE Trans. Electron Devices , vol.54 , Issue.3 , pp. 446-455
    • Nieuwoudt, A.1    Massoud, Y.2
  • 152
    • 0036049629 scopus 로고    scopus 로고
    • A general probabilistic framework for worst case timing analysis
    • M. Orshansky and K. Keutzer, "A general probabilistic framework for worst case timing analysis" in Proc. Design Autom. Conf., 2002, pp. 556-561.
    • (2002) Proc. Design Autom. Conf. , pp. 556-561
    • Orshansky, M.1    Keutzer, K.2
  • 157
    • 33750815896 scopus 로고    scopus 로고
    • Read stability and write-ability analysis of SRAM cells of nanometer technologies
    • Nov.
    • E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, "Read stability and write-ability analysis of SRAM cells of nanometer technologies" IEEE J. Solid-State Circuits, vol.41, no.11, pp. 2577-2588, Nov. 2006.
    • (2006) IEEE J. Solid-State Circuits , vol.41 , Issue.11 , pp. 2577-2588
    • Grossar, E.1    Stucchi, M.2    Maex, K.3    Dehaene, W.4
  • 159
    • 34547210880 scopus 로고    scopus 로고
    • Statistical analysis of SRAM cell stability
    • K. Agarwal and S. Nassif, "Statistical analysis of SRAM cell stability" in Proc. Design Autom. Conf., 2006, pp. 57-62.
    • (2006) Proc. Design Autom. Conf. , pp. 57-62
    • Agarwal, K.1    Nassif, S.2
  • 162
    • 51349100877 scopus 로고    scopus 로고
    • Tracing SRAM separatrix for dynamic noise margin analysis under device mismatch
    • G. M. Huang, W. Dong, Y. Ho, and P. Li, "Tracing SRAM separatrix for dynamic noise margin analysis under device mismatch" in Proc. Int. Behav. Model. Simul. Conf., 2007, pp. 6-10.
    • (2007) Proc. Int. Behav. Model. Simul. Conf. , pp. 6-10
    • Huang, G.M.1    Dong, W.2    Ho, Y.3    Li, P.4
  • 163
    • 34547208344 scopus 로고    scopus 로고
    • Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events
    • R. Kanj, R. Joshi, and S. Nassif, "Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events" in Proc. Design Autom. Conf., 2006, pp. 69-72.
    • (2006) Proc. Design Autom. Conf. , pp. 69-72
    • Kanj, R.1    Joshi, R.2    Nassif, S.3
  • 164
    • 34548303547 scopus 로고    scopus 로고
    • Statistical blockade: A novel method for very fast Monte Carlo simulation for rare circuit events, and its application
    • A. Singhee and R. A. Rutenbar, "Statistical blockade: A novel method for very fast Monte Carlo simulation for rare circuit events, and its application" in Proc. Design Autom. Test Eur. Conf., 2007, pp. 1379-1384.
    • (2007) Proc. Design Autom. Test Eur. Conf. , pp. 1379-1384
    • Singhee, A.1    Rutenbar, R.A.2
  • 165
    • 39549089375 scopus 로고    scopus 로고
    • Rapid estimation of the probability of SRAM failure due to MOS threshold variations
    • S. Srivastava and J. Roychowdhury, "Rapid estimation of the probability of SRAM failure due to MOS threshold variations" in Proc. Custom Integr. Circuits Conf., 2007, pp. 229-232.
    • (2007) Proc. Custom Integr. Circuits Conf. , pp. 229-232
    • Srivastava, S.1    Roychowdhury, J.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.