-
1
-
-
0042912833
-
Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs
-
Sep.
-
A. Asenov, A. R. Brown, J. H. Davies, S. Kaya, and G. Slavcheva, "Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs" IEEE Trans. Electron Devices, vol.50, no.9, pp. 1837-1852, Sep. 2003.
-
(2003)
IEEE Trans. Electron Devices
, vol.50
, Issue.9
, pp. 1837-1852
-
-
Asenov, A.1
Brown, A.R.2
Davies, J.H.3
Kaya, S.4
Slavcheva, G.5
-
2
-
-
77957013742
-
A new comprehensive SRAM soft error simulation based on 3D device simulation incorporating neutron nuclear reactions
-
M. Hane, Y. Kawakami, H. Nakamura, T. Yamada, K. Kumagai, and Y. Watanabe, "A new comprehensive SRAM soft error simulation based on 3D device simulation incorporating neutron nuclear reactions" in Proc. Simul. Semiconductor Processes Devices, 2003, pp. 239-242.
-
(2003)
Proc. Simul. Semiconductor Processes Devices
, pp. 239-242
-
-
Hane, M.1
Kawakami, Y.2
Nakamura, H.3
Yamada, T.4
Kumagai, K.5
Watanabe, Y.6
-
3
-
-
0034833288
-
Modeling and analysis of manufacturing variations
-
S. R. Nassif, "Modeling and analysis of manufacturing variations" in Proc. Custom Integrated Circuit Conf., 2001, pp. 223-228.
-
(2001)
Proc. Custom Integrated Circuit Conf.
, pp. 223-228
-
-
Nassif, S.R.1
-
5
-
-
0041633858
-
Parameter variation and impact on circuits and microarchitecture
-
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De, "Parameter variation and impact on circuits and microarchitecture" in Proc. Design Autom. Conf., 2003, pp. 338-342.
-
(2003)
Proc. Design Autom. Conf.
, pp. 338-342
-
-
Borkar, S.1
Karnik, T.2
Narendra, S.3
Tschanz, J.4
Keshavarzi, A.5
De, V.6
-
6
-
-
0035308547
-
The impact of intrinsic device fluctuations on CMOS SRAM cell stability
-
Apr.
-
A. Bhavnagarwala, X. Tang, and J. D. Meindl, "The impact of intrinsic device fluctuations on CMOS SRAM cell stability" IEEE J. Solid State Circuits, vol.36, no.4, pp. 658-665, Apr. 2001.
-
(2001)
IEEE J. Solid State Circuits
, vol.36
, Issue.4
, pp. 658-665
-
-
Bhavnagarwala, A.1
Tang, X.2
Meindl, J.D.3
-
7
-
-
0031365880
-
Intrinsic MOSFET parameter fluctuations due to random dopant placement
-
Dec.
-
X. Tang, V. De, and J. D. Meindl, "Intrinsic MOSFET parameter fluctuations due to random dopant placement" Trans. Very Large Scale Integr.(VLSI) Syst., vol.5, no.4, pp. 369-376, Dec. 1997.
-
(1997)
Trans. Very Large Scale Integr.(VLSI) Syst.
, vol.5
, Issue.4
, pp. 369-376
-
-
Tang, X.1
De, V.2
Meindl, J.D.3
-
8
-
-
64849115488
-
Theory of multi-tube carbon nanotube transistors for high speed variation-tolerant circuits
-
A. Raychowdhury, J. Kurtin, S. Borkar, V. De, K. Roy, and A. Keshavarzi, "Theory of multi-tube carbon nanotube transistors for high speed variation-tolerant circuits" in Proc. Device Res. Conf., 2008, pp. 23-24.
-
(2008)
Proc. Device Res. Conf.
, pp. 23-24
-
-
Raychowdhury, A.1
Kurtin, J.2
Borkar, S.3
De, V.4
Roy, K.5
Keshavarzi, A.6
-
9
-
-
34548138865
-
Assessing the implications of process variations on future carbon nanotube bundle interconnect solutions
-
A. Nieuwoudt and Y. Massoud, "Assessing the implications of process variations on future carbon nanotube bundle interconnect solutions" in Proc. Int. Symp. Quality Electron. Design, 2007, pp. 119-126.
-
(2007)
Proc. Int. Symp. Quality Electron. Design
, pp. 119-126
-
-
Nieuwoudt, A.1
Massoud, Y.2
-
10
-
-
34547287170
-
Automated design of misaligned-carbon-nanotube-immune circuits
-
N. Patil, J. Deng, H. S. P. Wong, and S. Mitra, "Automated design of misaligned-carbon-nanotube-immune circuits" in Proc. Design Autom. Conf., 2007, pp. 958-961.
-
(2007)
Proc. Design Autom. Conf.
, pp. 958-961
-
-
Patil, N.1
Deng, J.2
Wong, H.S.P.3
Mitra, S.4
-
11
-
-
70350727154
-
Carbon nanotube circuits in the presence of carbon nanotube density variations
-
J. Zhang, N. Patil, A. Hazeghi, and S. Mitra, "Carbon nanotube circuits in the presence of carbon nanotube density variations" in Proc. Design Autom. Conf., 2009, pp. 71-76.
-
(2009)
Proc. Design Autom. Conf.
, pp. 71-76
-
-
Zhang, J.1
Patil, N.2
Hazeghi, A.3
Mitra, S.4
-
12
-
-
66549104212
-
Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis
-
S. Bobba, J. Zhang, A. Pullini, D. Atienza, and G. D. Micheli, "Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis" in Proc. Design Autom. Test Eur., 2009, pp. 616-621.
-
(2009)
Proc. Design Autom. Test Eur.
, pp. 616-621
-
-
Bobba, S.1
Zhang, J.2
Pullini, A.3
Atienza, D.4
Micheli, G.D.5
-
13
-
-
77957011897
-
-
U.S. Patent Appl.
-
S. Borkar, A. Keshavarzi, J. K. Kurtin, and V. De, "Statistical circuit design with carbon nanotubes" U.S. Patent Appl. 20 070 155 065, 2005.
-
(2005)
Statistical Circuit Design with Carbon Nanotubes
, vol.20
, Issue.70
, pp. 065
-
-
Borkar, S.1
Keshavarzi, A.2
Kurtin, J.K.3
De, V.4
-
14
-
-
0003815341
-
Managing the impact of increasing microprocessor power consumption
-
S. H. Gunther, F. Binns, D. M. Carmean, and J. C. Hall, "Managing the impact of increasing microprocessor power consumption" Intel Tech. J., pp. 1-9, 2001.
-
(2001)
Intel Tech. J.
, pp. 1-9
-
-
Gunther, S.H.1
Binns, F.2
Carmean, D.M.3
Hall, J.C.4
-
15
-
-
20344388361
-
Characteristics of the surface-state charge (Qss) of thermally oxidized silicon
-
B. E. Deal, M. Sklar, A. S. Grove, and E. H. Snow, "Characteristics of the surface-state charge (Qss) of thermally oxidized silicon" J. Electrochem. Soc., vol.114, pp. 266-273, 1967.
-
(1967)
J. Electrochem. Soc.
, vol.114
, pp. 266-273
-
-
Deal, B.E.1
Sklar, M.2
Grove, A.S.3
Snow, E.H.4
-
17
-
-
36449005547
-
Mechanism of negative bias temperature instability
-
C. E. Blat, E. H. Nicollian, and E. H. Poindexter, "Mechanism of negative bias temperature instability" J. Appl. Phys., vol.69, pp. 1712-1720, 1991.
-
(1991)
J. Appl. Phys.
, vol.69
, pp. 1712-1720
-
-
Blat, C.E.1
Nicollian, E.H.2
Poindexter, E.H.3
-
18
-
-
12844262199
-
Dynamic bias-temperature instability in ultrathin SiO2 and HfO2 metal-oxide semiconductor field effect transistors and its impact on device lifetime
-
Nov.
-
M. F. Li, G. Chen, C. Shen, X. P. Wang, H. Y. Yu, Y. Yeo, and D. L. Kwong, "Dynamic bias-temperature instability in ultrathin SiO2 and HfO2 metal-oxide semiconductor field effect transistors and its impact on device lifetime" Jpn. J. Appl. Phys., vol.43, pp. 7807-7814, Nov. 2004.
-
(2004)
Jpn. J. Appl. Phys.
, vol.43
, pp. 7807-7814
-
-
Li, M.F.1
Chen, G.2
Shen, C.3
Wang, X.P.4
Yu, H.Y.5
Yeo, Y.6
Kwong, D.L.7
-
19
-
-
39549110955
-
A comparative study of NBTI and PBTI (charge trapping) in SiO2/HfO2 stacks with FUSI, TiN, Re gates
-
S. Jafar, Y. H. Kim, V. Narayanan, C. Cabral, V. Paruchuri, Ö. Doris, J. Stathis, A. Callegari, and M. Chudzik, "A comparative study of NBTI and PBTI (charge trapping) in SiO2/HfO2 stacks with FUSI, TiN, Re gates" in Proc. Very Large Scale Integr. (VLSI) Circuits, 2006, pp. 23-25.
-
(2006)
Proc. Very Large Scale Integr. (VLSI) Circuits
, pp. 23-25
-
-
Jafar, S.1
Kim, Y.H.2
Narayanan, V.3
Cabral, C.4
Paruchuri, V.5
Doris, Ö.6
Stathis, J.7
Callegari, A.8
Chudzik, M.9
-
20
-
-
19944418828
-
Positive bias temperature instability in nMOSFETs with ultra-thin Hf-silicate gate dielectrics
-
F. Crupi, C. Pace, G. Cocorullo, G. Groeseneken, M. Aoulaiche, and M. Houssa, "Positive bias temperature instability in nMOSFETs with ultra-thin Hf-silicate gate dielectrics" J. Microelectron. Eng., vol.80, pp. 130-133, 2005.
-
(2005)
J. Microelectron. Eng.
, vol.80
, pp. 130-133
-
-
Crupi, F.1
Pace, C.2
Cocorullo, G.3
Groeseneken, G.4
Aoulaiche, M.5
Houssa, M.6
-
24
-
-
64549131250
-
Adaptive techniques for overcoming performance degradation due to aging in digital circuits
-
S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, "Adaptive techniques for overcoming performance degradation due to aging in digital circuits" in Proc. Asia-South Pacific Design Autom. Conf., 2009, pp. 284-289.
-
(2009)
Proc. Asia-South Pacific Design Autom. Conf.
, pp. 284-289
-
-
Kumar, S.V.1
Kim, C.H.2
Sapatnekar, S.S.3
-
26
-
-
33748584309
-
Mathematically-assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems
-
S. V. Kumar, C. H. Kim, and S. Sapatnekar, "Mathematically-assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems" in Proc. Asia-South Pacific Design Autom. Conf., 2006, pp. 559-564.
-
(2006)
Proc. Asia-South Pacific Design Autom. Conf.
, pp. 559-564
-
-
Kumar, S.V.1
Kim, C.H.2
Sapatnekar, S.3
-
27
-
-
39749184704
-
Body bias voltage computations for process and temperature compensation
-
Mar.
-
S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, "Body bias voltage computations for process and temperature compensation" IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol.16, no.3, pp. 249-262, Mar. 2008.
-
(2008)
IEEE Trans. Very Large Scale Integr.(VLSI) Syst.
, vol.16
, Issue.3
, pp. 249-262
-
-
Kumar, S.V.1
Kim, C.H.2
Sapatnekar, S.S.3
-
29
-
-
49549104682
-
Compact in-situ sensors for monitoring negative-bias-temperature- instability effect and oxide degradation
-
E. Karl, P. Singh, D. Blaauw, and D. Sylvester, "Compact in-situ sensors for monitoring negative-bias-temperature-instability effect and oxide degradation" in Proc. Int. Solid-State Circuits Conf., 2008, pp. 410-623.
-
(2008)
Proc. Int. Solid-State Circuits Conf.
, pp. 410-623
-
-
Karl, E.1
Singh, P.2
Blaauw, D.3
Sylvester, D.4
-
30
-
-
39549085217
-
An integrated modeling paradigm of circuit reliability for 65 nm CMOS technology
-
W. Wang, V. Reddy, A. T. Krishnan, S. Krishnan, and Y. Cao, "An integrated modeling paradigm of circuit reliability for 65 nm CMOS technology" in Proc. Custom Integr. Circuits Conf., 2007, pp. 511-514.
-
(2007)
Proc. Custom Integr. Circuits Conf.
, pp. 511-514
-
-
Wang, W.1
Reddy, V.2
Krishnan, A.T.3
Krishnan, S.4
Cao, Y.5
-
31
-
-
49549122051
-
An efficient method to identify critical gates under circuit aging
-
W. Wang, Z. Wei, S. Yang, and Y. Cao, "An efficient method to identify critical gates under circuit aging" in Proc. Int. Conf. Comput.-Aided Design, 2007, pp. 735-740.
-
(2007)
Proc. Int. Conf. Comput.-Aided Design
, pp. 735-740
-
-
Wang, W.1
Wei, Z.2
Yang, S.3
Cao, Y.4
-
32
-
-
36949009341
-
Efficient transistor-level sizing technique under temporal performance degradation due to NBTI
-
K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, "Efficient transistor-level sizing technique under temporal performance degradation due to NBTI" in Proc. Int. Conf. Comput. Design, 2006, pp. 216-221.
-
(2006)
Proc. Int. Conf. Comput. Design
, pp. 216-221
-
-
Kang, K.1
Kufluoglu, H.2
Alam, M.A.3
Roy, K.4
-
33
-
-
77950291757
-
-
Ph.D. dissertation, Dept. Electr. Comput. Eng., Purdue Univ., West Lafayette, IN
-
H. Kufluoglu, "MOSFET degradation due to negative bias temperature instability (NBTI) and hot carrier injection (HCI) and its implications for reliability-aware VLSI design" Ph.D. dissertation, Dept. Electr. Comput. Eng., Purdue Univ., West Lafayette, IN, 2007.
-
(2007)
MOSFET Degradation Due to Negative Bias Temperature Instability (NBTI) and Hot Carrier Injection (HCI) and Its Implications for Reliability-aware VLSI Design
-
-
Kufluoglu, H.1
-
34
-
-
34047187067
-
Temporal performance degradation under NBTI: Estimation and design for improved reliability of nanoscale circuits
-
B. C. Paul, K. Kang, H. Kuflouglu, M. A. Alam, and K. Roy, "Temporal performance degradation under NBTI: Estimation and design for improved reliability of nanoscale circuits" in Proc. Design Autom. Test Eur., 2006, pp. 780-785.
-
(2006)
Proc. Design Autom. Test Eur.
, pp. 780-785
-
-
Paul, B.C.1
Kang, K.2
Kuflouglu, H.3
Alam, M.A.4
Roy, K.5
-
35
-
-
23844466920
-
Impact of NBTI on the temporal performance degradation of digital circuits
-
Aug.
-
B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, "Impact of NBTI on the temporal performance degradation of digital circuits" IEEE Electron Device Lett., vol.26, no.8, pp. 560-562, Aug. 2005.
-
(2005)
IEEE Electron Device Lett.
, vol.26
, Issue.8
, pp. 560-562
-
-
Paul, B.C.1
Kang, K.2
Kufluoglu, H.3
Alam, M.A.4
Roy, K.5
-
36
-
-
39749183560
-
Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ
-
K. Kang, M. A. Alam, and K. Roy, "Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ" in Proc. Int. Test Conf., 2007, pp. 1-10.
-
(2007)
Proc. Int. Test Conf.
, pp. 1-10
-
-
Kang, K.1
Alam, M.A.2
Roy, K.3
-
37
-
-
50249171807
-
Estimation of statistical variation in temporal NBTI degradation and its impact in lifetime circuit performance
-
K. Kang, S. P. Park, K. Roy, and M. A. Alam, "Estimation of statistical variation in temporal NBTI degradation and its impact in lifetime circuit performance" in Proc. Int. Conf. Comput.-Aided Design, 2007, pp. 730-734.
-
(2007)
Proc. Int. Conf. Comput.-Aided Design
, pp. 730-734
-
-
Kang, K.1
Park, S.P.2
Roy, K.3
Alam, M.A.4
-
38
-
-
49549087051
-
NBTI induced performance degradation in logic and memory circuits: How effectively can we approach a reliability solution?
-
K. Kang, S. Gangwal, S. P. Park, and K. Roy, "NBTI induced performance degradation in logic and memory circuits: How effectively can we approach a reliability solution?" in Proc. Asia South Pacific Design Autom. Conf., 2008, pp. 726-731.
-
(2008)
Proc. Asia South Pacific Design Autom. Conf.
, pp. 726-731
-
-
Kang, K.1
Gangwal, S.2
Park, S.P.3
Roy, K.4
-
39
-
-
0018457253
-
1m MOSFET VLSI technology: Part IV-hot electron design constraints
-
Apr.
-
T. H. Ning, P. W. Cook, R. H. Dennard, C. M. Osburn, S. E. Schuster, and H. Yu, "1m MOSFET VLSI technology: Part IV-hot electron design constraints" Trans. Electron Devices, vol.26, no.4, pp. 346-353, Apr. 1979.
-
(1979)
Trans. Electron Devices
, vol.26
, Issue.4
, pp. 346-353
-
-
Ning, T.H.1
Cook, P.W.2
Dennard, R.H.3
Osburn, C.M.4
Schuster, S.E.5
Yu, H.6
-
43
-
-
0033726122
-
Unified model for Q(BD) prediction for thin gate oxide MOS devices with constant voltage and current stress
-
May 2000
-
M. T. Quddus, T. A. DeMassa, and J. J. Sanchez, "Unified model for Q(BD) prediction for thin gate oxide MOS devices with constant voltage and current stress" Microelectron. Eng. 2000, vol.51-52, pp. 357-372, May 2000.
-
(2000)
Microelectron. Eng.
, vol.51-52
, pp. 357-372
-
-
Quddus, M.T.1
Demassa, T.A.2
Sanchez, J.J.3
-
44
-
-
0036506478
-
A future of function or failure
-
Mar.
-
M. A. Alam, ". Weir, and A. Silverman, "A future of function or failure" IEEE Circuits Devices Mag., vol. 18, no. 2, pp. 42-48, Mar. 2002.
-
(2002)
IEEE Circuits Devices Mag.
, vol.18
, Issue.2
, pp. 42-48
-
-
Alam, M.A.1
Weir, S.2
Silverman, A.3
-
45
-
-
0028448170
-
Failure mechanism models for electromigration
-
Jun.
-
D. Young and A. Christou, "Failure mechanism models for electromigration" IEEE Trans. Rel., vol.43, no.2, pp. 186-192, Jun. 1994.
-
(1994)
IEEE Trans. Rel.
, vol.43
, Issue.2
, pp. 186-192
-
-
Young, D.1
Christou, A.2
-
46
-
-
0036543067
-
Duet: An accurate leakage estimation and optimization tool for dual-Vt circuits
-
Apr.
-
S. Sirichotiyakul, T. Edwards, C. Oh, R. Panda, and D. Blaauw, "Duet: An accurate leakage estimation and optimization tool for dual-Vt circuits" IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol.10, no.2, pp. 79-90, Apr. 2002.
-
(2002)
IEEE Trans. Very Large Scale Integr.(VLSI) Syst.
, vol.10
, Issue.2
, pp. 79-90
-
-
Sirichotiyakul, S.1
Edwards, T.2
Oh, C.3
Panda, R.4
Blaauw, D.5
-
47
-
-
0035301566
-
Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits
-
Apr.
-
P. Pant, R. Roy, and A. Chatterjee, "Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits" IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol.9, no.2, pp. 390-394, Apr. 2001.
-
(2001)
IEEE Trans. Very Large Scale Integr.(VLSI) Syst.
, vol.9
, Issue.2
, pp. 390-394
-
-
Pant, P.1
Roy, R.2
Chatterjee, A.3
-
48
-
-
0031635596
-
Design and optimization of low voltage high performance dual threshold CMOS circuits
-
L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De, "Design and optimization of low voltage high performance dual threshold CMOS circuits" in Proc. Design Autom. Conf., 1998, pp. 489-494.
-
(1998)
Proc. Design Autom. Conf.
, pp. 489-494
-
-
Wei, L.1
Chen, Z.2
Johnson, M.3
Roy, K.4
De, V.5
-
49
-
-
0036045143
-
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors
-
T. Karnik, Y. Ye, J. Tschanz, L. Wei, S. Burns, V. Govindarajulu, V. De, and S. Borkar, "Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors" in Proc. Design Autom. Conf., 2002, pp. 486-491.
-
(2002)
Proc. Design Autom. Conf.
, pp. 486-491
-
-
Karnik, T.1
Ye, Y.2
Tschanz, J.3
Wei, L.4
Burns, S.5
Govindarajulu, V.6
De, V.7
Borkar, S.8
-
50
-
-
1542359159
-
Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization
-
D. Nguyen, A. Davare, M. Orshansky, D. Chinnery, O. Thompson, and K. Keutzer, "Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization" in Proc. Int. Symp. Low-Power Electron. Design, 2003, pp. 158-163.
-
(2003)
Proc. Int. Symp. Low-Power Electron. Design
, pp. 158-163
-
-
Nguyen, D.1
Davare, A.2
Orshansky, M.3
Chinnery, D.4
Thompson, O.5
Keutzer, K.6
-
51
-
-
1542269353
-
Simultaneous Vt selection and assignment for leakage optimization
-
A. Srivastava, "Simultaneous Vt selection and assignment for leakage optimization" in Proc. Int. Symp. Low-Power Electron. Design, 2003, pp. 146-151.
-
(2003)
Proc. Int. Symp. Low-Power Electron. Design
, pp. 146-151
-
-
Srivastava, A.1
-
53
-
-
4444277442
-
Statistical optimization of leakage power considering process variations using dual-VTH and sizing
-
A. Srivastava, D. Sylvester, D. Blauuw, and A. Agarwal, "Statistical optimization of leakage power considering process variations using dual-VTH and sizing" in Proc. Design Autom. Conf., 2004, pp. 773-778.
-
(2004)
Proc. Design Autom. Conf.
, pp. 773-778
-
-
Srivastava, A.1
Sylvester, D.2
Blauuw, D.3
Agarwal, A.4
-
55
-
-
27944492787
-
Robust gate sizing by geometric programming
-
J. Singh, V. Nookala, Z.-Q. Luo, and S. Sapatnekar, "Robust gate sizing by geometric programming" in Proc. Design Autom. Conf., 2005, pp. 315-320.
-
(2005)
Proc. Design Autom. Conf.
, pp. 315-320
-
-
Singh, J.1
Nookala, V.2
Luo, Z.-Q.3
Sapatnekar, S.4
-
56
-
-
4444264520
-
Novel sizing algorithm for yield improvement under process variation in nanometer
-
S. H. Choi, ". C. Paul, and K. Roy, "Novel sizing algorithm for yield improvement under process variation in nanometer" in Proc. Design Autom. Conf., 2004, pp. 454-459.
-
(2004)
Proc. Design Autom. Conf.
, pp. 454-459
-
-
Choi, S.H.1
Paul, C.2
Roy, K.3
-
57
-
-
0032685389
-
Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation
-
Jul.
-
C.-P. Chen, C. C. N. Chu, and D. F. Wong, "Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.18, no.7, pp. 1014-1025, Jul. 1999.
-
(1999)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.18
, Issue.7
, pp. 1014-1025
-
-
Chen, C.-P.1
Chu, C.C.N.2
Wong, D.F.3
-
58
-
-
0036054545
-
Uncertainty-aware circuit optimization
-
X. Bai, C. Visweswariah, P. N. Strenski, and D. J. Hathaway, "Uncertainty-aware circuit optimization" in Proc. Design Autom. Conf., 2002, pp. 58-63.
-
(2002)
Proc. Design Autom. Conf.
, pp. 58-63
-
-
Bai, X.1
Visweswariah, C.2
Strenski, P.N.3
Hathaway, D.J.4
-
59
-
-
4444272791
-
Design and reliability challenges in nanometer technologies
-
S. Borkar, T. Karnik, and V. De, "Design and reliability challenges in nanometer technologies" in Proc. Design Autom. Conf., 2004, p. 75.
-
(2004)
Proc. Design Autom. Conf.
, pp. 75
-
-
Borkar, S.1
Karnik, T.2
De, V.3
-
62
-
-
46149117523
-
Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization
-
M. Mani, A. Singh, and M. Orshansky, "Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization" in Proc. Int. Conf. Comput.-Aided Design, 2006, pp. 19-26.
-
(2006)
Proc. Int. Conf. Comput.-Aided Design
, pp. 19-26
-
-
Mani, M.1
Singh, A.2
Orshansky, M.3
-
63
-
-
57549107330
-
Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation
-
V. Khandelwal and A. Srivastava, "Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation" in Proc. Int. Symp. Phys. Design, 2006, pp. 17-25.
-
(2006)
Proc. Int. Symp. Phys. Design
, pp. 17-25
-
-
Khandelwal, V.1
Srivastava, A.2
-
64
-
-
84944408150
-
RAZOR: A low-power pipeline based on circuit-level timing speculation
-
D. Ernst, N. S. Kim, S. Das, S. Pant, T. Pham, R. Rao, C. Ziesler, D. Blaauw, T. Austin, and T. Mudge, "RAZOR: A low-power pipeline based on circuit-level timing speculation" in Proc. Int. Symp. Microarchitecture, 2003, pp. 7-18.
-
(2003)
Proc. Int. Symp. Microarchitecture
, pp. 7-18
-
-
Ernst, D.1
Kim, N.S.2
Das, S.3
Pant, S.4
Pham, T.5
Rao, R.6
Ziesler, C.7
Blaauw, D.8
Austin, T.9
Mudge, T.10
-
65
-
-
49549122926
-
Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance
-
K. A. Bowman, J. W. Tschanz, N. S. Kim, J. C. Lee, C. B. Wilkerson, S. L. Lu, T. Karnik, and V. K. De, "Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance" in Proc. Int. Solid-State Circuits Conf., 2008, pp. 402-623.
-
(2008)
Proc. Int. Solid-State Circuits Conf.
, pp. 402-623
-
-
Bowman, K.A.1
Tschanz, J.W.2
Kim, N.S.3
Lee, J.C.4
Wilkerson, C.B.5
Lu, S.L.6
Karnik, T.7
De, V.K.8
-
66
-
-
49549105128
-
RAZOR-II: In-situ error detection and correction for PVT and ser tolerance
-
D. Blaauw, S. Kalaiselvan, K. Lai, W. H. Ma, S. Pant, C. Tokunaga, S. Das, and D. Bull, "RAZOR-II: In-situ error detection and correction for PVT and SER tolerance" in Proc. Int. Solid-State Circuits Conf., 2008, pp. 400-401.
-
(2008)
Proc. Int. Solid-State Circuits Conf.
, pp. 400-401
-
-
Blaauw, D.1
Kalaiselvan, S.2
Lai, K.3
Ma, W.H.4
Pant, S.5
Tokunaga, C.6
Das, S.7
Bull, D.8
-
67
-
-
1842582489
-
Making typical silicon matter with RAZOR
-
Mar.
-
T. Austin, D. Blaauw, T. Mudge, and K. Flautner, "Making typical silicon matter with RAZOR" IEEE Comput., vol.37, no.3, pp. 57-65, Mar. 2004.
-
(2004)
IEEE Comput.
, vol.37
, Issue.3
, pp. 57-65
-
-
Austin, T.1
Blaauw, D.2
Mudge, T.3
Flautner, K.4
-
68
-
-
15044339297
-
RAZOR: Circuit-level correction of timing errors for low-power operation
-
Nov./Dec.
-
D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N. S. Kim, and K. Flautner, "RAZOR: Circuit-level correction of timing errors for low-power operation" IEEE Micro, vol.24, no.6, pp. 10-20, Nov./Dec. 2004.
-
(2004)
IEEE Micro
, vol.24
, Issue.6
, pp. 10-20
-
-
Ernst, D.1
Das, S.2
Lee, S.3
Blaauw, D.4
Austin, T.5
Mudge, T.6
Kim, N.S.7
Flautner, K.8
-
70
-
-
40349098498
-
Mitigating the impact of process variations on processor register files and execution units
-
X. Liang and D. Brooks, "Mitigating the impact of process variations on processor register files and execution units" in Proc. Int. Symp. Microarchitecture, 2006, pp. 504-514.
-
(2006)
Proc. Int. Symp. Microarchitecture
, pp. 504-514
-
-
Liang, X.1
Brooks, D.2
-
72
-
-
52649164769
-
ReVIVaL: A variation tolerant architecture using voltage interpolation and variable latency
-
X. Liang, G.-Y. Wei, and D. Brooks, "ReVIVaL: A variation tolerant architecture using voltage interpolation and variable latency" in Proc. Int. Symp. Comput. Architecture, 2008, pp. 191-202.
-
(2008)
Proc. Int. Symp. Comput. Architecture
, pp. 191-202
-
-
Liang, X.1
Wei, G.-Y.2
Brooks, D.3
-
73
-
-
49549096924
-
A process-variation-tolerant floating-point unit with voltage interpolation and variable latency
-
X. Liang, D. Brooks, and G.-Y. Wei, "A process-variation-tolerant floating-point unit with voltage interpolation and variable latency" in Proc. Int. Solid-State Circuits Conf., 2008, pp. 404-405.
-
(2008)
Proc. Int. Solid-State Circuits Conf.
, pp. 404-405
-
-
Liang, X.1
Brooks, D.2
Wei, G.-Y.3
-
74
-
-
54249104938
-
CRISTA: A new paradigm for low-power and robust circuit synthesis under parameter variations using critical path isolation
-
Nov.
-
S. Ghosh, S. Bhunia, and K. Roy, "CRISTA: A new paradigm for low-power and robust circuit synthesis under parameter variations using critical path isolation" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.26, no.11, pp. 1947-1956, Nov. 2007.
-
(2007)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.26
, Issue.11
, pp. 1947-1956
-
-
Ghosh, S.1
Bhunia, S.2
Roy, K.3
-
75
-
-
0036047839
-
Reliable and energy-efficient digital signal processing
-
N. R. Shanbhag, "Reliable and energy-efficient digital signal processing" in Proc. Design Autom. Conf., 2002, pp. 830-835.
-
(2002)
Proc. Design Autom. Conf.
, pp. 830-835
-
-
Shanbhag, N.R.1
-
77
-
-
66649124356
-
Managing process variation in Intels 45 nm CMOS technology
-
C. Kenyon, A. Kornfeld, K. Kuhn, M. Liu, A. Maheshwari, W. Shih, S. Sivakumar, G. Taylor, P. VanDerVoorn, and K. Zawadzki, "Managing process variation in Intels 45 nm CMOS technology" Intel Tech. J., vol.12, no.2, pp. 93-110, 2008.
-
(2008)
Intel Tech. J.
, vol.12
, Issue.2
, pp. 93-110
-
-
Kenyon, C.1
Kornfeld, A.2
Kuhn, K.3
Liu, M.4
Maheshwari, A.5
Shih, W.6
Sivakumar, S.7
Taylor, G.8
Vandervoorn, P.9
Zawadzki, K.10
-
80
-
-
41549129053
-
Statistical timing analysis: From basic principles to state of the art
-
Apr.
-
D. Blaauw, K. Chopra, A. Srivastava, and L. Scheffer, "Statistical timing analysis: From basic principles to state of the art" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.27, no.4, pp. 589-607, Apr. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.27
, Issue.4
, pp. 589-607
-
-
Blaauw, D.1
Chopra, K.2
Srivastava, A.3
Scheffer, L.4
-
81
-
-
33646909654
-
Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100 nm technologies
-
A. Datta, S. Bhunia, S. Mukhopadhyay, N. Banerjee, and K. Roy, "Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100 nm technologies" in Proc. Design Autom. Test Eur., 2005, pp. 926-931.
-
(2005)
Proc. Design Autom. Test Eur.
, pp. 926-931
-
-
Datta, A.1
Bhunia, S.2
Mukhopadhyay, S.3
Banerjee, N.4
Roy, K.5
-
82
-
-
33645803195
-
A statistical approach to area-constrained yield enhancement for pipelined circuits under parameter variations
-
A. Datta, S. Bhunia, S. Mukhopadhyay, and K. Roy, "A statistical approach to area-constrained yield enhancement for pipelined circuits under parameter variations" in Proc. Asian Test Symp., 2005, pp. 170-175.
-
(2005)
Proc. Asian Test Symp.
, pp. 170-175
-
-
Datta, A.1
Bhunia, S.2
Mukhopadhyay, S.3
Roy, K.4
-
83
-
-
1642276264
-
Statistical analysis of subthreshold leakage current for VLSI circuits
-
Feb.
-
R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester, "Statistical analysis of subthreshold leakage current for VLSI circuits" Trans. Very Large Scale Integr.(VLSI) Syst., vol.12, no.2, pp. 131-139, Feb. 2004.
-
(2004)
Trans. Very Large Scale Integr.(VLSI) Syst.
, vol.12
, Issue.2
, pp. 131-139
-
-
Rao, R.1
Srivastava, A.2
Blaauw, D.3
Sylvester, D.4
-
84
-
-
16244421701
-
A probabilistic framework to estimate xfull-chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations
-
S. Zhang, V. Wason, and K. Banerjee, "A probabilistic framework to estimate xfull-chip subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations" in Proc. Int. Symp. Low Power Electron. Design, 2004, pp. 156-161.
-
(2004)
Proc. Int. Symp. Low Power Electron. Design
, pp. 156-161
-
-
Zhang, S.1
Wason, V.2
Banerjee, K.3
-
85
-
-
4444351567
-
Parametric yield estimation considering leakage variability
-
R. Rao, A. Devgan, D. Blaauw, and D. Sylvester, "Parametric yield estimation considering leakage variability" in Proc. Design Autom. Conf., 2004, pp. 442-447.
-
(2004)
Proc. Design Autom. Conf.
, pp. 442-447
-
-
Rao, R.1
Devgan, A.2
Blaauw, D.3
Sylvester, D.4
-
86
-
-
33751441014
-
Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations
-
A. Agrawal, K. Kang, and K. Roy, "Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations" in Proc. Int. Conf. Comput.-Aided Design, 2005, pp. 736-741.
-
(2005)
Proc. Int. Conf. Comput.-Aided Design
, pp. 736-741
-
-
Agrawal, A.1
Kang, K.2
Roy, K.3
-
87
-
-
0036908379
-
3-D thermal-ADI: A linear-time chip level transient thermal simulator
-
Dec.
-
T.-Y. Wang and C. C.-P. Chen, "3-D thermal-ADI: A linear-time chip level transient thermal simulator" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.21, no.12, pp. 1434-1445, Dec. 2002.
-
(2002)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.21
, Issue.12
, pp. 1434-1445
-
-
Wang, T.-Y.1
Chen, C.C.-P.2
-
88
-
-
1542269367
-
Full chip estimation considering power, supply and temperature variations
-
H. Su, F. Liu, A. Devgan, E. Acar, and S. Nassif, "Full chip estimation considering power, supply and temperature variations" in Proc. Int. Symp. Low Power Electron. Design, Aug. 2003, pp. 78-83.
-
(2003)
Proc. Int. Symp. Low Power Electron. Design, Aug.
, pp. 78-83
-
-
Su, H.1
Liu, F.2
Devgan, A.3
Acar, E.4
Nassif, S.5
-
89
-
-
16244394515
-
Efficient full-chip thermal modeling and analysis
-
P. Li, L. Pileggi, M. Asheghi, and R. Chandra, "Efficient full-chip thermal modeling and analysis" in Proc. Int. Conf. Comput.-Aided Design, 2004, pp. 319-326.
-
(2004)
Proc. Int. Conf. Comput.-Aided Design
, pp. 319-326
-
-
Li, P.1
Pileggi, L.2
Asheghi, M.3
Chandra, R.4
-
90
-
-
0032139246
-
ILLIADS-T: An electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips
-
Aug.
-
Y. Cheng, P. Raha, C. Teng, E. Rosenbaum, and S. Kang, "ILLIADS-T: An electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips" IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol.17, no.8, pp. 668-681, Aug. 1998.
-
(1998)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.17
, Issue.8
, pp. 668-681
-
-
Cheng, Y.1
Raha, P.2
Teng, C.3
Rosenbaum, E.4
Kang, S.5
-
91
-
-
33746400169
-
HotSpot: A compact thermal modeling methodology for early-stage VLSI design
-
May
-
W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan, and S. Ghosh, "HotSpot: A compact thermal modeling methodology for early-stage VLSI design" IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol.14, no.5, pp. 501-513, May 2006.
-
(2006)
IEEE Trans. Very Large Scale Integr.(VLSI) Syst.
, vol.14
, Issue.5
, pp. 501-513
-
-
Huang, W.1
Stan, M.R.2
Skadron, K.3
Sankaranarayanan, K.4
Ghosh, S.5
-
93
-
-
33750969691
-
Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters
-
K. Kang, ". C. Paul, and K. Roy, "Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters" ACM Trans. Design Autom. Electron. Syst., pp. 848-879, 2006.
-
(2006)
ACM Trans. Design Autom. Electron. Syst.
, pp. 848-879
-
-
Kang, K.1
Paul, S.C.2
Roy, K.3
-
94
-
-
39749143369
-
Self-repairing SRAM for reducing parametric failures in nanoscaled memory
-
S. Mukhopadhyay, K. Kim, H. Mahmoodi, A. Datta, D. Park, and K. Roy, "Self-repairing SRAM for reducing parametric failures in nanoscaled memory" in Proc. Very Large Scale Integr. (VLSI) Circuits, 2006, pp. 132-133.
-
(2006)
Proc. Very Large Scale Integr. (VLSI) Circuits
, pp. 132-133
-
-
Mukhopadhyay, S.1
Kim, K.2
Mahmoodi, H.3
Datta, A.4
Park, D.5
Roy, K.6
-
95
-
-
29144526605
-
Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS
-
Dec.
-
S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.24, no.12, pp. 1859-1880, Dec. 2005.
-
(2005)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.24
, Issue.12
, pp. 1859-1880
-
-
Mukhopadhyay, S.1
Mahmoodi, H.2
Roy, K.3
-
96
-
-
0023437909
-
Static-noise margin analysis of MOS SRAM cells
-
Oct.
-
E. Seevinck, F. J. List, and J. Lohstroh, "Static-noise margin analysis of MOS SRAM cells" IEEE J. Solid State Circuits, vol.22, no.5, pp. 748-754, Oct. 1987.
-
(1987)
IEEE J. Solid State Circuits
, vol.22
, Issue.5
, pp. 748-754
-
-
Seevinck, E.1
List, F.J.2
Lohstroh, J.3
-
97
-
-
73249132776
-
Trifecta: A nonspeculative scheme to exploit common, data-dependent subcritical paths
-
Jan.
-
P. Ndai, N. Rafique, M. Thottethodi, S. Ghosh, S. Bhunia, and K. Roy, "Trifecta: A nonspeculative scheme to exploit common, data-dependent subcritical paths" Trans. Very Large Scale Integr.(VLSI) Syst., vol.18, no.1, pp. 53-65, Jan. 2010.
-
(2010)
Trans. Very Large Scale Integr.(VLSI) Syst.
, vol.18
, Issue.1
, pp. 53-65
-
-
Ndai, P.1
Rafique, N.2
Thottethodi, M.3
Ghosh, S.4
Bhunia, S.5
Roy, K.6
-
98
-
-
33847133368
-
Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring
-
S. Mukhopadhyay, K. Kang, H. Mahmoodi, and K. Roy, "Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring" in Proc. Int. Test Conf., 2005, pp. 1-10.
-
(2005)
Proc. Int. Test Conf.
, pp. 1-10
-
-
Mukhopadhyay, S.1
Kang, K.2
Mahmoodi, H.3
Roy, K.4
-
99
-
-
34547226726
-
Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM
-
S. Ghosh, S. Mukhopadhyay, K. Kim, and K. Roy, "Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM" in Proc. Design Autom. Conf., 2006, pp. 971-976.
-
(2006)
Proc. Design Autom. Conf.
, pp. 971-976
-
-
Ghosh, S.1
Mukhopadhyay, S.2
Kim, K.3
Roy, K.4
-
100
-
-
33644640188
-
Stable SRAM cell design for the 32 nm node and beyond
-
L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, A. D. Adams, K. W. Guarini, and W. Haensch, "Stable SRAM cell design for the 32 nm node and beyond" in Proc. Very Large Scale Integr. (VLSI) Technol., 2005, pp. 128-129.
-
(2005)
Proc. Very Large Scale Integr. (VLSI) Technol.
, pp. 128-129
-
-
Chang, L.1
Fried, D.M.2
Hergenrother, J.3
Sleight, J.W.4
Dennard, R.H.5
Montoye, R.K.6
Sekaric, L.7
McNab, S.J.8
Topol, A.W.9
Adams, A.D.10
Guarini, K.W.11
Haensch, W.12
-
101
-
-
33847724635
-
A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation
-
Mar.
-
B. H. Calhoun and A. Chandrakasan, "A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation" IEEE J. Solid State Circuits, vol.42, no.3, pp. 680-688, Mar. 2007.
-
(2007)
IEEE J. Solid State Circuits
, vol.42
, Issue.3
, pp. 680-688
-
-
Calhoun, B.H.1
Chandrakasan, A.2
-
102
-
-
59349118349
-
A 32 kb 10 T Sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
Feb.
-
I. Chang, J. J. Kim, S. P. Park, and K. Roy, "A 32 kb 10 T Sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS" IEEE J. Solid State Circuits, vol.44, no.2, pp. 650-658, Feb. 2008.
-
(2008)
IEEE J. Solid State Circuits
, vol.44
, Issue.2
, pp. 650-658
-
-
Chang, I.1
Kim, J.J.2
Park, S.P.3
Roy, K.4
-
103
-
-
51549097605
-
Process variation tolerant SRAM design for ultra low voltage application
-
J. P. Kulkarni, K. Kim, S. Park, and K. Roy, "Process variation tolerant SRAM design for ultra low voltage application" in Proc. Design Autom. Conf., 2008, pp. 108-113.
-
(2008)
Proc. Design Autom. Conf.
, pp. 108-113
-
-
Kulkarni, J.P.1
Kim, K.2
Park, S.3
Roy, K.4
-
104
-
-
34748830993
-
A 160 mV robust Schmitt trigger based subthreshold SRAM
-
Oct.
-
J. P. Kulkarni, K. Kim, and K. Roy, "A 160 mV robust Schmitt trigger based subthreshold SRAM" IEEE J. Solid State Circuits, vol.42, no.10, pp. 2303-2313, Oct. 2007.
-
(2007)
IEEE J. Solid State Circuits
, vol.42
, Issue.10
, pp. 2303-2313
-
-
Kulkarni, J.P.1
Kim, K.2
Roy, K.3
-
105
-
-
13144266757
-
A process-tolerant cache architecture for improved yield in nano-scale technologies
-
A. Agarwal, ". C. Paul, H. Mahmoodi, A. Datta, and K. Roy, "A process-tolerant cache architecture for improved yield in nano-scale technologies" Trans. Very Large Scale Integr. (VLSI) Syst., pp. 27-38, 2005.
-
(2005)
Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.27-38
-
-
Agarwal, A.1
Paul, C.2
Mahmoodi, H.3
Datta, A.4
Roy, K.5
-
106
-
-
0033715762
-
Dynamic-threshold CMOS SRAMs for fast, portable applications
-
A. J. Bhavnagarwala, A. Kapoor, and J. D. Meindl, "Dynamic-threshold CMOS SRAMs for fast, portable applications" in Proc. ASIC/Syst. Chip Conf., 2000, p. 359.
-
(2000)
Proc. ASIC/Syst. Chip Conf.
, pp. 359
-
-
Bhavnagarwala, A.J.1
Kapoor, A.2
Meindl, J.D.3
-
107
-
-
31344451652
-
A 3 GHz 70 Mb SRAM in 65 nm CMOS technology with integrated column-based dynamic power suppl
-
Jan.
-
K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, S. Zheng, and M. Bohr, "A 3 GHz 70 Mb SRAM in 65 nm CMOS technology with integrated column-based dynamic power suppl" IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 146-151, Jan. 2006.
-
(2006)
IEEE J. Solid-State Circuits
, vol.41
, Issue.1
, pp. 146-151
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, S.8
Bohr, M.9
-
108
-
-
34548825093
-
A 1.1 GHz 12 uA/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS with integrated leakage reduction for mobile applications
-
Y. Wang, H. Ahn, U. Bhattacharya, T. Coan, F. Hamzaoglu, W. Hafez, C.-H. Jan, P. Kolar, S. Kulkarni, J. Lin, Y. Ng, I. Post, L. Wei, Y. Zhang, K. Zhang, andM. Bohr, "A 1.1 GHz 12 uA/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS with integrated leakage reduction for mobile applications" in Proc. Int. Solid-State Circuits Conf., 2007, pp. 324-325.
-
(2007)
Proc. Int. Solid-State Circuits Conf.
, pp. 324-325
-
-
Wang, Y.1
Ahn, H.2
Bhattacharya, U.3
Coan, T.4
Hamzaoglu, F.5
Hafez, W.6
Jan, C.-H.7
Kolar, P.8
Kulkarni, S.9
Lin, J.10
Ng, Y.11
Post, I.12
Wei, L.13
Zhang, Y.14
Zhang Andm. Bohr, K.15
-
109
-
-
39749101750
-
The 65 nm 16 MB on-die L3 cache for a dual core multi-threaded Xeon processor
-
J. Chang, M. Huang, J. Shoemaker, J. Benoit, S.-L. Chen, W. Chen, S. Chiu, R. Ganesan, G. Leong, V. Lukka, S. Rusu, and D. Srivastava, "The 65 nm 16 MB on-die L3 cache for a dual core multi-threaded Xeon processor" in Proc. Symp. Very Large Scale Integr. (VLSI) Circuits, 2006, pp. 126-127.
-
(2006)
Proc. Symp. Very Large Scale Integr. (VLSI) Circuits
, pp. 126-127
-
-
Chang, J.1
Huang, M.2
Shoemaker, J.3
Benoit, J.4
Chen, S.-L.5
Chen, W.6
Chiu, S.7
Ganesan, R.8
Leong, G.9
Lukka, V.10
Rusu, S.11
Srivastava, D.12
-
110
-
-
85008042429
-
A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations
-
Jan.
-
K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, and H. Shinohara, "A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations" IEEE J. Solid-State Circuits, vol.43, no.1, pp. 180-191, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 180-191
-
-
Nii, K.1
Yabuuchi, M.2
Tsukamoto, Y.3
Ohbayashi, S.4
Imaoka, S.5
Makino, H.6
Yamagami, Y.7
Ishikura, S.8
Terano, T.9
Oashi, T.10
Hashimoto, K.11
Sebe, A.12
Okazaki, G.13
Satomi, K.14
Akamatsu, H.15
Shinohara, H.16
-
111
-
-
39749201604
-
An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage
-
H. Pilo, J. Barwin, G. Braceras, C. Browning, S. Burns, J. Gabric, S. Lamphier, M. Miller, A. Roberts, and F. Towler, "An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage" in Proc. Symp. Very Large Scale Integr. (VLSI) Circuits, Jun. 2006, pp. 15-16.
-
(2006)
Proc. Symp. Very Large Scale Integr. (VLSI) Circuits
, pp. 15-16
-
-
Pilo, H.1
Barwin, J.2
Braceras, G.3
Browning, C.4
Burns, S.5
Gabric, J.6
Lamphier, S.7
Miller, M.8
Roberts, A.9
Towler, F.10
-
112
-
-
33847721007
-
Fluctuation limits and scaling opportunities for CMOS SRAM cells
-
A. Bhavnagarwala, S. Kosonocky, C. Radens, K. Stawiasz, R. Mann, Q. Ye, and K. Chin, "Fluctuation limits and scaling opportunities for CMOS SRAM cells" in Proc. IEDM Tech. Dig., 2005, pp. 659-662.
-
(2005)
Proc. IEDM Tech. Dig.
, pp. 659-662
-
-
Bhavnagarwala, A.1
Kosonocky, S.2
Radens, C.3
Stawiasz, K.4
Mann, R.5
Ye, Q.6
Chin, K.7
-
113
-
-
33846259499
-
Wordline & bit-line pulsing schemes for improving SRAM cell stability in low-vcc 65 nm CMOS designs
-
M. Khellah, Y. Ye, N. S. Kim, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, and V. De, "Wordline & bit-line pulsing schemes for improving SRAM cell stability in low-vcc 65 nm CMOS designs" in Proc. Symp. Very Large Scale Integr. (VLSI) Circuits, 2006, pp. 12-13.
-
(2006)
Proc. Symp. Very Large Scale Integr. (VLSI) Circuits
, pp. 12-13
-
-
Khellah, M.1
Ye, Y.2
Kim, N.S.3
Somasekhar, D.4
Pandya, G.5
Farhang, A.6
Zhang, K.7
Webb, C.8
De, V.9
-
114
-
-
18744365842
-
SRAM design in 65-nm CMOS technology with dynamic sleep transistor for leakage reduction
-
Apr.
-
K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Want, ". Zheng, and M. Bohr, "SRAM design in 65-nm CMOS technology with dynamic sleep transistor for leakage reduction" IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 895-901, Apr. 2005.
-
(2005)
IEEE J. Solid-State Circuits
, vol.40
, Issue.4
, pp. 895-901
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Want, Y.7
Zheng, S.8
Bohr, M.9
-
115
-
-
38849084539
-
A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing
-
Feb.
-
T. Kim, J. Liu, J. Keane, and C. H. Kim, "A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing" IEEE J. Solid State Circuits, vol.43, no.2, pp. 518-529, Feb. 2008.
-
(2008)
IEEE J. Solid State Circuits
, vol.43
, Issue.2
, pp. 518-529
-
-
Kim, T.1
Liu, J.2
Keane, J.3
Kim, C.H.4
-
116
-
-
0020499138
-
Architecture of a VLSI instruction cache for a RISC
-
D. A. Patterson, P. Garrison, M. Hill, D. Lioupis, C. Nyberg, T. Sippel, and K. Van Dyke, "Architecture of a VLSI instruction cache for a RISC" in Proc. Int. Symp. Comput. Architecture, 2003, pp. 108-116.
-
(2003)
Proc. Int. Symp. Comput. Architecture
, pp. 108-116
-
-
Patterson, D.A.1
Garrison, P.2
Hill, M.3
Lioupis, D.4
Nyberg, C.5
Sippel, T.6
Van Dyke, K.7
-
117
-
-
0036504519
-
Power4 system design for high reliability
-
Mar./Apr.
-
D. C. Bossen, J. M. Tendler, and K. Reick, "Power4 system design for high reliability" IEEE Micro, vol.22, no.2, pp. 16-24, Mar./Apr. 2002.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 16-24
-
-
Bossen, D.C.1
Tendler, J.M.2
Reick, K.3
-
118
-
-
39549083080
-
Process-tolerant low-power adaptive pipeline under scaled-Vdd
-
S. Ghosh, P. Batra, K. Kim, and K. Roy, "Process-tolerant low-power adaptive pipeline under scaled-Vdd" in Proc. Custom Integr. Circuits Conf., 2007, pp. 733-736.
-
(2007)
Proc. Custom Integr. Circuits Conf.
, pp. 733-736
-
-
Ghosh, S.1
Batra, P.2
Kim, K.3
Roy, K.4
-
119
-
-
57549095612
-
O2C: Occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors
-
S. Ghosh, J. H. Choi, P. Ndai, and K. Roy, "O2C: Occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors" in Proc. Int. Symp. Low Power Electron. Design, 2008, pp. 189-192.
-
(2008)
Proc. Int. Symp. Low Power Electron. Design
, pp. 189-192
-
-
Ghosh, S.1
Choi, J.H.2
Ndai, P.3
Roy, K.4
-
120
-
-
34547379312
-
Variation resilient low-power circuit design methodology using on-chip phase locked loop
-
K. Kang, K. Kim, and K. Roy, "Variation resilient low-power circuit design methodology using on-chip phase locked loop" in Proc. Design Autom. Conf., 2007, pp. 934-939.
-
(2007)
Proc. Design Autom. Conf.
, pp. 934-939
-
-
Kang, K.1
Kim, K.2
Roy, K.3
-
122
-
-
50249113322
-
Design methodology to trade-off power, output quality and error resiliency: Application to color interpolation filtering
-
G. Karakonstantis, N. Banerjee, K. Roy, and C. Chakrabarty, "Design methodology to trade-off power, output quality and error resiliency: Application to color interpolation filtering" in Proc. Int. Conf. Comput.-Aided Design, 2007, pp. 199-204.
-
(2007)
Proc. Int. Conf. Comput.-Aided Design
, pp. 199-204
-
-
Karakonstantis, G.1
Banerjee, N.2
Roy, K.3
Chakrabarty, C.4
-
123
-
-
36949037268
-
A process variation aware low power synthesis methodology for fixed-point FIR filters
-
N. Banerjee, J. H. Choi, and K. Roy, "A process variation aware low power synthesis methodology for fixed-point FIR filters" in Proc. Int. Symp. Low Power Electron. Design, 2007, pp. 147-152.
-
(2007)
Proc. Int. Symp. Low Power Electron. Design
, pp. 147-152
-
-
Banerjee, N.1
Choi, J.H.2
Roy, K.3
-
124
-
-
36949017858
-
Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits
-
T. Kim, R. Persaud, and C. H. Kim, "Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits" in Proc. Very Large Scale Integr. (VLSI) Circuits Symp., 2007, pp. 122-123.
-
(2007)
Proc. Very Large Scale Integr. (VLSI) Circuits Symp.
, pp. 122-123
-
-
Kim, T.1
Persaud, R.2
Kim, C.H.3
-
125
-
-
37549010759
-
Circuit failure prediction and its application to transistor aging
-
M. Agarwal, S. C. Paul, M. Zhang, and S. Mitra, "Circuit failure prediction and its application to transistor aging" in Proc. Very Large Scale Integr. (VLSI) Test Symp., 2007, pp. 277-286.
-
(2007)
Proc. Very Large Scale Integr. (VLSI) Test Symp.
, pp. 277-286
-
-
Agarwal, M.1
Paul, S.C.2
Zhang, M.3
Mitra, S.4
-
126
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: Simple techniques for reducing leakage power" in Proc. Int. Symp. Comput. Architecture, 2002, pp. 148-157.
-
(2002)
Proc. Int. Symp. Comput. Architecture
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
127
-
-
52249092623
-
Metrics for architecture-level lifetime reliability analysis
-
P. Ramachandran, S. V. Adve, P. Bose, J. A. Rivers, and J. Srinivasan, "Metrics for architecture-level lifetime reliability analysis" in Proc. Int. Symp. Performance Anal. Syst. Softw., 2008, pp. 202-212.
-
(2008)
Proc. Int. Symp. Performance Anal. Syst. Softw.
, pp. 202-212
-
-
Ramachandran, P.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
Srinivasan, J.5
-
128
-
-
36048965581
-
Architecture-level soft error analysis: Examining the limits of common assumptions
-
X. Li, S. V. Adve, P. Bose, and J. A. Rivers, "Architecture-level soft error analysis: Examining the limits of common assumptions" in Proc. Int. Conf. Dependable Syst. Netw., 2007, pp. 266-275.
-
(2007)
Proc. Int. Conf. Dependable Syst. Netw.
, pp. 266-275
-
-
Li, X.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
-
129
-
-
44949257194
-
-
Ph.D. dissertation, Comput. Sci. Dept., Univ. Illinois at Urbana-Champaign, Urbana, IL, May
-
J. Srinivasan, "Lifetime reliability aware microprocessors" Ph.D. dissertation, Comput. Sci. Dept., Univ. Illinois at Urbana-Champaign, Urbana, IL, May 2006.
-
(2006)
Lifetime Reliability Aware Microprocessors
-
-
Srinivasan, J.1
-
130
-
-
22944456833
-
Lifetime reliability: Toward an architectural solution
-
May/Jun.
-
J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, "Lifetime reliability: Toward an architectural solution" IEEE Micro, vol.25, Special Issue on Emerging Trends, no.3, pp. 2-12, May/Jun. 2005.
-
(2005)
IEEE Micro 25, Special Issue on Emerging Trends
, vol.3
, pp. 2-12
-
-
Srinivasan, J.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
-
131
-
-
27544457181
-
Exploiting structural duplication for lifetime reliability enhancement
-
J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, "Exploiting structural duplication for lifetime reliability enhancement" in Proc. Int. Symp. Comput. Architecture, 2005, pp. 520-531.
-
(2005)
Proc. Int. Symp. Comput. Architecture
, pp. 520-531
-
-
Srinivasan, J.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
-
132
-
-
0032510985
-
A defect-tolerant computer architecture: Opportunities for nanotechnology
-
J. R. Heath, P. Kuekes, G. Snider, and S. Williams, "A defect-tolerant computer architecture: Opportunities for nanotechnology" Science, pp. 1716-1721, 1998.
-
(1998)
Science
, pp. 1716-1721
-
-
Heath, J.R.1
Kuekes, P.2
Snider, G.3
Williams, S.4
-
133
-
-
0033321638
-
DIVA: A reliable substrate for deep submicron microarchitecture design
-
T. M. Austin, "DIVA: A reliable substrate for deep submicron microarchitecture design" in Proc. Int. Symp. Microarchitecture (MICRO), 1999, pp. 196-207.
-
(1999)
Proc. Int. Symp. Microarchitecture (MICRO)
, pp. 196-207
-
-
Austin, T.M.1
-
134
-
-
49549119735
-
Reliable systems on unreliable fabrics
-
Jul./Aug.
-
T. Austin, V. Bertacco, S. Mahlke, and K. Cao, "Reliable systems on unreliable fabrics" IEEE Design Test Comput., vol.25, no.4, pp. 322-332, Jul./Aug. 2008.
-
(2008)
IEEE Design Test Comput.
, vol.25
, Issue.4
, pp. 322-332
-
-
Austin, T.1
Bertacco, V.2
Mahlke, S.3
Cao, K.4
-
135
-
-
52649174496
-
Polymorphic on-chip networks
-
M. M. Kim, J. D. Davis, M. Oskin, and T. Austin, "Polymorphic on-chip networks" in Proc. Int. Symp. Comput. Architecture, 2008, pp. 101-112.
-
(2008)
Proc. Int. Symp. Comput. Architecture
, pp. 101-112
-
-
Kim, M.M.1
Davis, J.D.2
Oskin, M.3
Austin, T.4
-
136
-
-
47349110547
-
Software-based on-line detection of hardware defects: Mechanisms, architectural support, and evaluation
-
K. Constantinides, O. Mutlu, T. Austin, and V. Bertacco, "Software-based on-line detection of hardware defects: Mechanisms, architectural support, and evaluation" in Proc. Int. Symp. Microarchitecture, 2007, pp. 97-108.
-
(2007)
Proc. Int. Symp.Microarchitecture
, pp. 97-108
-
-
Constantinides, K.1
Mutlu, O.2
Austin, T.3
Bertacco, V.4
-
137
-
-
67649634851
-
Architecting a reliable CMP switch
-
K. Constantinides, S. Plaza, J. Blome, ". Zhang, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky, "Architecting a reliable CMP switch" ACM Trans. Architecture Code Optim., vol. 4, no. 1, pp. 1-37, 2007.
-
(2007)
ACM Trans. Architecture Code Optim.
, vol.4
, Issue.1
, pp. 1-37
-
-
Constantinides, K.1
Plaza, S.2
Blome, J.3
Zhang, S.4
Bertacco, V.5
Mahlke, S.6
Austin, T.7
Orshansky, M.8
-
138
-
-
4544278314
-
Tolerating hard faults in microprocessor array structures
-
F. A. Bower, P. G. Shealy, S. Ozev, and D. J. Sorin, "Tolerating hard faults in microprocessor array structures" in Proc. Int. Symp. Microarchitecture, 2004, pp. 51-60.
-
(2004)
Proc. Int. Symp. Microarchitecture
, pp. 51-60
-
-
Bower, F.A.1
Shealy, P.G.2
Ozev, S.3
Sorin, D.J.4
-
139
-
-
33749413197
-
A mechanism for online diagnosis of hard faults in microprocessors
-
F. A. Bower, D. J. Sorin, and S. Ozev, "A mechanism for online diagnosis of hard faults in microprocessors" in Proc. Int. Symp. Microarchitecture, 2005, pp. 197-208.
-
(2005)
Proc. Int. Symp. Microarchitecture
, pp. 197-208
-
-
Bower, F.A.1
Sorin, D.J.2
Ozev, S.3
-
140
-
-
27544488444
-
Microarchitecture based introspection: A technique for transient-fault tolerance in microprocessors
-
M. K. Qureshi, O. Mutlu, and Y. N. Patt, "Microarchitecture based introspection: A technique for transient-fault tolerance in microprocessors" in Proc. Int. Conf. Dependable Syst. Netw., 2005, pp. 434-443.
-
(2005)
Proc. Int. Conf. Dependable Syst. Netw.
, pp. 434-443
-
-
Qureshi, M.K.1
Mutlu, O.2
Patt, Y.N.3
-
141
-
-
34548348685
-
Low-cost protection for ser upsets and silicon defects
-
M. Mehrara, M. Attarian, S. Shyam, K. Constantinides, V. Bertacco, and T. Austin, "Low-cost protection for SER upsets and silicon defects" in Proc. Design Autom. Test Eur., 2007, pp. 1146-1151.
-
(2007)
Proc. Design Autom. Test Eur.
, pp. 1146-1151
-
-
Mehrara, M.1
Attarian, M.2
Shyam, S.3
Constantinides, K.4
Bertacco, V.5
Austin, T.6
-
142
-
-
34547457076
-
Ultra low-cost defect protection for microprocessor pipelines
-
K. Constantinides, S. Shyam, S. Phadke, V. Bertacco, and T. Austin, "Ultra low-cost defect protection for microprocessor pipelines" in Proc. Int. Conf. Architectural Support Programm. Lang. Operat. Syst., 2006, pp. 73-82.
-
(2006)
Proc. Int. Conf. Architectural Support Programm. Lang. Operat. Syst.
, pp. 73-82
-
-
Constantinides, K.1
Shyam, S.2
Phadke, S.3
Bertacco, V.4
Austin, T.5
-
143
-
-
33748849061
-
BulletProof: A defect tolerant CMP switch architecture
-
K. Constantinides, J. Blome, S. Plaza, ". Zhang, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky, "BulletProof: A defect tolerant CMP switch architecture" in Proc. Int. Symp. High-Performance Comput. Architecture, 2006, pp. 3-14.
-
(2006)
Proc. Int. Symp. High-Performance Comput. Architecture
, pp. 3-14
-
-
Constantinides, K.1
Blome, J.2
Plaza, S.3
Zhang, S.4
Bertacco, V.5
Mahlke, S.6
Austin, T.7
Orshansky, M.8
-
146
-
-
0242332710
-
Sensitivity of double-gate and FinFET devices to process variations
-
Nov.
-
S. Xiong and J. Bokor, "Sensitivity of double-gate and FinFET devices to process variations" IEEE Trans. Electron Devices, vol.50, no.11, pp. 2255-2261, Nov. 2003.
-
(2003)
IEEE Trans. Electron Devices
, vol.50
, Issue.11
, pp. 2255-2261
-
-
Xiong, S.1
Bokor, J.2
-
148
-
-
41749091851
-
Impact of a process variation on nanowire and nanotube device performance
-
Sep.
-
B. Paul, S. Fujita, M. Okajima, T. H. Lee, H.-S. P. Wong, and Y. Nishi, "Impact of a process variation on nanowire and nanotube device performance" IEEE Trans. Electron Devices, vol.54, no.9, pp. 2369-2376, Sep. 2007.
-
(2007)
IEEE Trans. Electron Devices
, vol.54
, Issue.9
, pp. 2369-2376
-
-
Paul, B.1
Fujita, S.2
Okajima, M.3
Lee, T.H.4
Wong, H.-S.P.5
Nishi, Y.6
-
149
-
-
33947641552
-
On the impact of process variations for carbon nanotube bundles for VLSI interconnect
-
Mar.
-
A. Nieuwoudt and Y. Massoud, "On the impact of process variations for carbon nanotube bundles for VLSI interconnect" IEEE Trans. Electron Devices, vol.54, no.3, pp. 446-455, Mar. 2007.
-
(2007)
IEEE Trans. Electron Devices
, vol.54
, Issue.3
, pp. 446-455
-
-
Nieuwoudt, A.1
Massoud, Y.2
-
152
-
-
0036049629
-
A general probabilistic framework for worst case timing analysis
-
M. Orshansky and K. Keutzer, "A general probabilistic framework for worst case timing analysis" in Proc. Design Autom. Conf., 2002, pp. 556-561.
-
(2002)
Proc. Design Autom. Conf.
, pp. 556-561
-
-
Orshansky, M.1
Keutzer, K.2
-
153
-
-
33748329641
-
First-order incremental block-based statistical timing analysis
-
Oct.
-
C. Visweswariah, K. Ravindran, K. Kalafala, S. G. Walker, S. Narayan, D. K. Beece, J. Piaget, N. Venkateswaran, and J. G. Hemmett, "First-order incremental block-based statistical timing analysis" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.25, no.10, pp. 2170-2180, Oct. 2006.
-
(2006)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.25
, Issue.10
, pp. 2170-2180
-
-
Visweswariah, C.1
Ravindran, K.2
Kalafala, K.3
Walker, S.G.4
Narayan, S.5
Beece, D.K.6
Piaget, J.7
Venkateswaran, N.8
Hemmett, J.G.9
-
154
-
-
47249158717
-
Self-calibrating online wearout detection
-
J. Blome, S. Feng, S. Gupta, and S. Mahlke, "Self-calibrating online wearout detection" in Proc. Int. Symp. Microarchitecture, 2007, pp. 109-120.
-
(2007)
Proc. Int. Symp. Microarchitecture
, pp. 109-120
-
-
Blome, J.1
Feng, S.2
Gupta, S.3
Mahlke, S.4
-
156
-
-
0023437909
-
Static-noise margin analysis of MOS SRAM cells
-
Oct.
-
E. Seevinck, F. J. List, and J. Lohstroh, "Static-noise margin analysis of MOS SRAM cells" IEEE J. Solid-State Circuits, vol.JSSC-22, no.5, pp. 748-754, Oct. 1987.
-
(1987)
IEEE J. Solid-State Circuits Val.JSSC-22
, Issue.5
, pp. 748-754
-
-
Seevinck, E.1
List, F.J.2
Lohstroh, J.3
-
157
-
-
33750815896
-
Read stability and write-ability analysis of SRAM cells of nanometer technologies
-
Nov.
-
E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, "Read stability and write-ability analysis of SRAM cells of nanometer technologies" IEEE J. Solid-State Circuits, vol.41, no.11, pp. 2577-2588, Nov. 2006.
-
(2006)
IEEE J. Solid-State Circuits
, vol.41
, Issue.11
, pp. 2577-2588
-
-
Grossar, E.1
Stucchi, M.2
Maex, K.3
Dehaene, W.4
-
158
-
-
17644374580
-
Variability analysis for sub-100 nm PD/SOI CMOS SRAM cell
-
R. V. Joshi, S. Mukhopadhyay, D. W. Plass, Y. H. Chan, C. Chuang, and A. Devgan, "Variability analysis for sub-100 nm PD/SOI CMOS SRAM cell" in Proc. Eur. Solid-State Circuits Conf., 2004, pp. 211-214.
-
(2004)
Proc. Eur. Solid-State Circuits Conf.
, pp. 211-214
-
-
Joshi, R.V.1
Mukhopadhyay, S.2
Plass, D.W.3
Chan, Y.H.4
Chuang, C.5
Devgan, A.6
-
159
-
-
34547210880
-
Statistical analysis of SRAM cell stability
-
K. Agarwal and S. Nassif, "Statistical analysis of SRAM cell stability" in Proc. Design Autom. Conf., 2006, pp. 57-62.
-
(2006)
Proc. Design Autom. Conf.
, pp. 57-62
-
-
Agarwal, K.1
Nassif, S.2
-
160
-
-
46149119897
-
Analytical modeling of SRAM dynamic stability
-
B. Zhang, A. Arapostathis, S. Nassif, and M. Orshansky, "Analytical modeling of SRAM dynamic stability" in Proc. Int. Conf. Comput.-Aided Design, 2006, pp. 315-322.
-
(2006)
Proc. Int. Conf. Comput.-Aided Design
, pp. 315-322
-
-
Zhang, B.1
Arapostathis, A.2
Nassif, S.3
Orshansky, M.4
-
161
-
-
51949089762
-
Large-scale read/write margin measurement in 45 nm CMOS SRAM arrays
-
Z. Guo, A. Carlson, L.-T. Pang, K. Duong, T.-J. K. Liu, and B. Nikolic, "Large-scale read/write margin measurement in 45 nm CMOS SRAM arrays" in Proc. Symp. Very Large Scale Integr. (VLSI) Circuits, 2008, pp. 42-43.
-
(2008)
Proc. Symp. Very Large Scale Integr. (VLSI) Circuits
, pp. 42-43
-
-
Guo, Z.1
Carlson, A.2
Pang, L.-T.3
Duong, K.4
Liu, T.-J.K.5
Nikolic, B.6
-
162
-
-
51349100877
-
Tracing SRAM separatrix for dynamic noise margin analysis under device mismatch
-
G. M. Huang, W. Dong, Y. Ho, and P. Li, "Tracing SRAM separatrix for dynamic noise margin analysis under device mismatch" in Proc. Int. Behav. Model. Simul. Conf., 2007, pp. 6-10.
-
(2007)
Proc. Int. Behav. Model. Simul. Conf.
, pp. 6-10
-
-
Huang, G.M.1
Dong, W.2
Ho, Y.3
Li, P.4
-
163
-
-
34547208344
-
Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events
-
R. Kanj, R. Joshi, and S. Nassif, "Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events" in Proc. Design Autom. Conf., 2006, pp. 69-72.
-
(2006)
Proc. Design Autom. Conf.
, pp. 69-72
-
-
Kanj, R.1
Joshi, R.2
Nassif, S.3
-
164
-
-
34548303547
-
Statistical blockade: A novel method for very fast Monte Carlo simulation for rare circuit events, and its application
-
A. Singhee and R. A. Rutenbar, "Statistical blockade: A novel method for very fast Monte Carlo simulation for rare circuit events, and its application" in Proc. Design Autom. Test Eur. Conf., 2007, pp. 1379-1384.
-
(2007)
Proc. Design Autom. Test Eur. Conf.
, pp. 1379-1384
-
-
Singhee, A.1
Rutenbar, R.A.2
-
165
-
-
39549089375
-
Rapid estimation of the probability of SRAM failure due to MOS threshold variations
-
S. Srivastava and J. Roychowdhury, "Rapid estimation of the probability of SRAM failure due to MOS threshold variations" in Proc. Custom Integr. Circuits Conf., 2007, pp. 229-232.
-
(2007)
Proc. Custom Integr. Circuits Conf.
, pp. 229-232
-
-
Srivastava, S.1
Roychowdhury, J.2
-
166
-
-
0022562544
-
An integrated and efficient approach for MOS VLSI statistical circuit design
-
Jan.
-
P. Yang, D. E. Hocevar, P. F. Cox, C. Machala, and P. K. Chatterjee, "An integrated and efficient approach for MOS VLSI statistical circuit design" IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.5, no.1, pp. 5-14, Jan. 1986.
-
(1986)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.5
, Issue.1
, pp. 5-14
-
-
Yang, P.1
Hocevar, D.E.2
Cox, P.F.3
MacHala, C.4
Chatterjee, P.K.5
-
168
-
-
0029216309
-
Timed shared circuits: A power-efficient design style and synthesis tool
-
L. Lavagno, P. C. McGeer, A. Saldanha, and A. L. Sangiovanni-Vincentelli, "Timed shared circuits: A power-efficient design style and synthesis tool" in Proc Design Autom. Conf., 1995, pp. 254-260.
-
(1995)
Proc Design Autom. Conf.
, pp. 254-260
-
-
Lavagno, L.1
McGeer, P.C.2
Saldanha, A.3
Sangiovanni-Vincentelli, A.L.4
-
170
-
-
0141538193
-
A process variation compensating technique for sub-90 nm dynamic circuits
-
C. H. Kim, K. Roy, S. Hsu, A. Alvandpour, R. Krishnamurthy, and S. Borkhar, "A process variation compensating technique for sub-90 nm dynamic circuits" in Proc. Symp. Very Large Scale Integr. (VLSI) Circuits, 2003, p. 205.
-
(2003)
Proc. Symp. Very Large Scale Integr. (VLSI) Circuits
, pp. 205
-
-
Kim, C.H.1
Roy, K.2
Hsu, S.3
Alvandpour, A.4
Krishnamurthy, R.5
Borkhar, S.6
|