-
1
-
-
84949743191
-
Modeling and forecasting of manufacturing variations (embedded tutorial)
-
S. Nassif, "Modeling and forecasting of manufacturing variations (embedded tutorial)," in Proc. ASP-DAC, 2001, pp. 145-149.
-
(2001)
Proc. ASP-DAC
, pp. 145-149
-
-
Nassif, S.1
-
3
-
-
0005032807
-
PERT as an aid to logic design
-
Mar
-
T. Kirkpatrick and N. Clark, "PERT as an aid to logic design," IBM J. Res. Develop., vol. 10. no. 2, pp. 135-141, Mar. 1966.
-
(1966)
IBM J. Res. Develop
, vol.10
, Issue.2
, pp. 135-141
-
-
Kirkpatrick, T.1
Clark, N.2
-
4
-
-
0027614893
-
Statistical timing analysis of combinational logic circuits
-
Jun
-
H. Jyu, S. Malik, S. Devdas, and K. Keutzer, "Statistical timing analysis of combinational logic circuits," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 1, no. 2, pp. 126-137. Jun. 1993.
-
(1993)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst
, vol.1
, Issue.2
, pp. 126-137
-
-
Jyu, H.1
Malik, S.2
Devdas, S.3
Keutzer, K.4
-
5
-
-
0027987599
-
Predicting circuit performance using circuit-level statistical timing analysis
-
Mar
-
R. Brashear, N. Menezes, C. Oh, L. Pillage, and M. Mercer, "Predicting circuit performance using circuit-level statistical timing analysis," in Proc. DATE, Mar. 1994, pp. 332-337.
-
(1994)
Proc. DATE
, pp. 332-337
-
-
Brashear, R.1
Menezes, N.2
Oh, C.3
Pillage, L.4
Mercer, M.5
-
6
-
-
33645684412
-
A self-tuning DVS processor using delay-error detection and correction
-
S. Das, S. Pant, D. Roberts, and S. Seokwoo, "A self-tuning DVS processor using delay-error detection and correction," in Proc. IEEE Symp. VLSI Circuits, 2005, pp. 258-261.
-
(2005)
Proc. IEEE Symp. VLSI Circuits
, pp. 258-261
-
-
Das, S.1
Pant, S.2
Roberts, D.3
Seokwoo, S.4
-
7
-
-
0036858210
-
Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage
-
Nov
-
J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, and V. De, "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1396-1402, Nov. 2002.
-
(2002)
IEEE J. Solid-State Circuits
, vol.37
, Issue.11
, pp. 1396-1402
-
-
Tschanz, J.1
Kao, J.2
Narendra, S.3
Nair, R.4
Antoniadis, D.5
Chandrakasan, A.6
De, V.7
-
8
-
-
0029409490
-
Modeling of chemical-mechanical polishing: A review
-
Nov
-
G. Nanz and L. Camilletti, "Modeling of chemical-mechanical polishing: A review," IEEE Trans. Semicond. Manuf., vol. 8, no. 4, pp. 382-389, Nov. 1995.
-
(1995)
IEEE Trans. Semicond. Manuf
, vol.8
, Issue.4
, pp. 382-389
-
-
Nanz, G.1
Camilletti, L.2
-
9
-
-
0005023582
-
Understanding focus effects in submicrometer optical lithography: A review
-
Oct
-
C. Mack, "Understanding focus effects in submicrometer optical lithography: A review," Opt. Eng., vol. 32, no. 10, pp. 2350-2362, Oct. 1993.
-
(1993)
Opt. Eng
, vol.32
, Issue.10
, pp. 2350-2362
-
-
Mack, C.1
-
10
-
-
2442425210
-
Physical CAD changes to incorporate design for lithography and manufacturability
-
L. Scheffer, "Physical CAD changes to incorporate design for lithography and manufacturability," in Proc. ASP-DAC, 2004, pp. 768-773.
-
(2004)
Proc. ASP-DAC
, pp. 768-773
-
-
Scheffer, L.1
-
11
-
-
34547155744
-
Computation of accurate interconnect process parameter values for performance comers under process variations
-
F. Huebbers, A. Dasdan, and Y. Ismail, "Computation of accurate interconnect process parameter values for performance comers under process variations," in Proc. DAC, 2006, pp. 797-800.
-
(2006)
Proc. DAC
, pp. 797-800
-
-
Huebbers, F.1
Dasdan, A.2
Ismail, Y.3
-
12
-
-
27944483718
-
Advanced timing analysis based on post-OPC extraction of critical dimensions
-
J. Yang, L. Capodieci, and D. Sylvester, "Advanced timing analysis based on post-OPC extraction of critical dimensions," in Proc. DAC, 2005, pp. 359-364.
-
(2005)
Proc. DAC
, pp. 359-364
-
-
Yang, J.1
Capodieci, L.2
Sylvester, D.3
-
13
-
-
4444353564
-
Toward a systematic-variation aware timing methodology
-
P. Gupta and F. Heng, "Toward a systematic-variation aware timing methodology," in Proc. DAC, 2004, pp. 321-326.
-
(2004)
Proc. DAC
, pp. 321-326
-
-
Gupta, P.1
Heng, F.2
-
14
-
-
0024754187
-
Matching properties of MOS transistors
-
Oct
-
M. Pelgrom, A. Duinmaijer, and A. Werbers, "Matching properties of MOS transistors," IEEE J. Solid-State Circuits, vol. 24, no. 5, pp. 1433-1439, Oct. 1989.
-
(1989)
IEEE J. Solid-State Circuits
, vol.24
, Issue.5
, pp. 1433-1439
-
-
Pelgrom, M.1
Duinmaijer, A.2
Werbers, A.3
-
15
-
-
0141852377
-
Statistical timing analysis using bounds and selective enumeration
-
Sep
-
A. Agarwal, D. Blaauw, and V. Zolotov, "Statistical timing analysis using bounds and selective enumeration," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 22, no. 9, pp. 1243-1260, Sep. 2003.
-
(2003)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst
, vol.22
, Issue.9
, pp. 1243-1260
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
-
16
-
-
0141649464
-
Statistical timing analysis using bounds and selective enumeration
-
A. Agarwal, D. Blaauw, V. Zolotov, and S. Vrudhula, "Statistical timing analysis using bounds and selective enumeration," in Proc. TAU Int. Workshop Timing, 2002, pp. 16-21.
-
(2002)
Proc. TAU Int. Workshop Timing
, pp. 16-21
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
Vrudhula, S.4
-
17
-
-
0001620447
-
Critical-path planning and scheduling: Mathematical basis
-
May/Jun
-
J. Kelley, "Critical-path planning and scheduling: Mathematical basis," J. Oper. Res., vol. 9, no. 3, pp. 296-320, May/Jun. 1961.
-
(1961)
J. Oper. Res
, vol.9
, Issue.3
, pp. 296-320
-
-
Kelley, J.1
-
18
-
-
0001501655
-
Application of a technique for research and development program evaluation
-
Sep./Oct
-
D. Malcolm, J. Roseboom, C. Clark, and W. Fazar, "Application of a technique for research and development program evaluation," J. Oper. Res., vol. 7, no. 5, pp. 646-669, Sep./Oct. 1959.
-
(1959)
J. Oper. Res
, vol.7
, Issue.5
, pp. 646-669
-
-
Malcolm, D.1
Roseboom, J.2
Clark, C.3
Fazar, W.4
-
19
-
-
84987049329
-
Computational complexity of PERT problems
-
J. Hagstrom, "Computational complexity of PERT problems," Networks, vol. 18, no. 2, pp. 139-147, 1988.
-
(1988)
Networks
, vol.18
, Issue.2
, pp. 139-147
-
-
Hagstrom, J.1
-
20
-
-
20344385187
-
-
New York: Springer-Verlag
-
S. Sapatnekar, Timing. New York: Springer-Verlag, 2004.
-
(2004)
Timing
-
-
Sapatnekar, S.1
-
21
-
-
16244418078
-
Clock schedule verification under process variations
-
R. Chen and H. Zhou, "Clock schedule verification under process variations," in Proc. ICCAD, 2004, pp. 619-625.
-
(2004)
Proc. ICCAD
, pp. 619-625
-
-
Chen, R.1
Zhou, H.2
-
22
-
-
0346778703
-
Statistical clock skew analysis considering intra-die process variations
-
A. Agarwal, D. Blaauw, and V. Zolotov, "Statistical clock skew analysis considering intra-die process variations," in Proc. ICCAD, 2003, pp. 914-921.
-
(2003)
Proc. ICCAD
, pp. 914-921
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
-
23
-
-
4444289696
-
Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining
-
L. Zhang, Y. Hu, and C. Chen, "Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining," in Proc. DAC, 2004, pp. 904-907.
-
(2004)
Proc. DAC
, pp. 904-907
-
-
Zhang, L.1
Hu, Y.2
Chen, C.3
-
24
-
-
16244412617
-
Static statistical timing analysis for latch-based pipeline designs
-
R. Rutenbar, L. Wang, K. Cheng, and S. Kundu, "Static statistical timing analysis for latch-based pipeline designs," in Proc. ICCAD, 2004, pp. 468-472.
-
(2004)
Proc. ICCAD
, pp. 468-472
-
-
Rutenbar, R.1
Wang, L.2
Cheng, K.3
Kundu, S.4
-
25
-
-
33748611879
-
Convergence- provable statistical timing analysis with level-sensitive latches and feedback loops
-
L. Zhang, J. Tsai, W. Chen, Y. Hu, and C. Chen, "Convergence- provable statistical timing analysis with level-sensitive latches and feedback loops," in Proc. ASP-DAC, 2006, pp. 941-946.
-
(2006)
Proc. ASP-DAC
, pp. 941-946
-
-
Zhang, L.1
Tsai, J.2
Chen, W.3
Hu, Y.4
Chen, C.5
-
26
-
-
0042635808
-
Death, taxes and failing chips
-
C. Visweswariah, "Death, taxes and failing chips," in Proc. DAC, 2003, pp. 343-347.
-
(2003)
Proc. DAC
, pp. 343-347
-
-
Visweswariah, C.1
-
27
-
-
4444233012
-
First-order incremental block-based statistical timing analysis
-
C. Visweswariah, K. Ravindran, K. Kalafala, S. Walker, and S. Narayan, "First-order incremental block-based statistical timing analysis," in Proc. DAC, 2004, pp. 331-336.
-
(2004)
Proc. DAC
, pp. 331-336
-
-
Visweswariah, C.1
Ravindran, K.2
Kalafala, K.3
Walker, S.4
Narayan, S.5
-
28
-
-
0348040085
-
Statistical timing analysis for intra-die process variations with spatial correlations
-
A. Agarwal, D. Blaauw, and V. Zolotov, "Statistical timing analysis for intra-die process variations with spatial correlations," in Proc. ICCAD, 2003, pp. 900-907.
-
(2003)
Proc. ICCAD
, pp. 900-907
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
-
29
-
-
0346778721
-
Statistical timing analysis considering spatial correlations using a single PERT-like traversal
-
H. Chang and S. Sapatnekar, "Statistical timing analysis considering spatial correlations using a single PERT-like traversal," in Proc. ICCAD, 2003, pp. 621-625.
-
(2003)
Proc. ICCAD
, pp. 621-625
-
-
Chang, H.1
Sapatnekar, S.2
-
30
-
-
27944511054
-
Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions
-
H. Chang, V. Zolotov, S. Narayan, and C. Visweswariah, "Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions," in Proc. DAC, 2005, pp. 71-76.
-
(2005)
Proc. DAC
, pp. 71-76
-
-
Chang, H.1
Zolotov, V.2
Narayan, S.3
Visweswariah, C.4
-
31
-
-
27944484450
-
Correlation-aware statistical timing analysis with non-Gaussian delay distributions
-
Y. Zhan, A. Strojwas, X. Li, T. Pileggi, D. Newmark, and M. Sharma, "Correlation-aware statistical timing analysis with non-Gaussian delay distributions," in Proc. DAC, 2005, pp. 77-82.
-
(2005)
Proc. DAC
, pp. 77-82
-
-
Zhan, Y.1
Strojwas, A.2
Li, X.3
Pileggi, T.4
Newmark, D.5
Sharma, M.6
-
32
-
-
27944487515
-
Correlation- preserved non-Gaussian statistical timing analysis with quadratic timing model
-
L. Zhang, W. Chen, Y. Hu, J. Gubner, and C. Chen, "Correlation- preserved non-Gaussian statistical timing analysis with quadratic timing model," in Proc. DAC, 2005, pp. 83-88.
-
(2005)
Proc. DAC
, pp. 83-88
-
-
Zhang, L.1
Chen, W.2
Hu, Y.3
Gubner, J.4
Chen, C.5
-
33
-
-
27944484876
-
A general framework for accurate statistical timing analysis considering correlations
-
V. Khandelwal and A. Srivastava, "A general framework for accurate statistical timing analysis considering correlations," in Proc. DAC, 2005, pp. 89-94.
-
(2005)
Proc. DAC
, pp. 89-94
-
-
Khandelwal, V.1
Srivastava, A.2
-
34
-
-
34547223772
-
Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis
-
J. Singh and S. Sapatnekar, "Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis," in Proc. DAC, 2006, pp. 155-160.
-
(2006)
Proc. DAC
, pp. 155-160
-
-
Singh, J.1
Sapatnekar, S.2
-
35
-
-
41549128015
-
A new statistical max operation for propagating skewness in statistical timing analysis
-
K. Chopra, B. Zhai, D. Blaauw, and D. Sylvester, "A new statistical max operation for propagating skewness in statistical timing analysis," in Proc. ICCAD, 2006, pp. 237-243.
-
(2006)
Proc. ICCAD
, pp. 237-243
-
-
Chopra, K.1
Zhai, B.2
Blaauw, D.3
Sylvester, D.4
-
36
-
-
0001310038
-
The greatest of a finite set of random variables
-
Mar./Apr
-
C. Clark, "The greatest of a finite set of random variables," J. Oper. Res., vol. 9, no. 2, pp. 145-162, Mar./Apr. 1961.
-
(1961)
J. Oper. Res
, vol.9
, Issue.2
, pp. 145-162
-
-
Clark, C.1
-
37
-
-
0041633575
-
Statistical timing for parametric yield prediction of digital integrated circuits
-
J. Jess, K. Kalafala, S. Naidu, R. Otten, and C. Visweswariah, "Statistical timing for parametric yield prediction of digital integrated circuits," in Proc. DAC, 2003, pp. 932-937.
-
(2003)
Proc. DAC
, pp. 932-937
-
-
Jess, J.1
Kalafala, K.2
Naidu, S.3
Otten, R.4
Visweswariah, C.5
-
38
-
-
5744249209
-
Equation of state calculations by fast computing machines
-
Jun
-
N. Metropolis, A. Rosenbluth, M. Rosenbluth, A. Teller, and E. Teller, "Equation of state calculations by fast computing machines," J. Chan. Phys., vol. 21, no. 6, pp. 1087-1092, Jun. 1953.
-
(1953)
J. Chan. Phys
, vol.21
, Issue.6
, pp. 1087-1092
-
-
Metropolis, N.1
Rosenbluth, A.2
Rosenbluth, M.3
Teller, A.4
Teller, E.5
-
40
-
-
34547208344
-
Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events
-
R. Kanj, R. Joshi, and S. Nassif, "Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events," in Proc. DAC, 2006, pp. 69-72.
-
(2006)
Proc. DAC
, pp. 69-72
-
-
Kanj, R.1
Joshi, R.2
Nassif, S.3
-
41
-
-
84864849577
-
Critically aware latin hypercube sampling for efficient statistical timing analysis
-
V. Veetil, D. Blaauw, and D. Sylvester, "Critically aware latin hypercube sampling for efficient statistical timing analysis," in Proc. TAU Int. Workshop Timing, 2007, pp. 24-30.
-
(2007)
Proc. TAU Int. Workshop Timing
, pp. 24-30
-
-
Veetil, V.1
Blaauw, D.2
Sylvester, D.3
-
42
-
-
84949959155
-
Timing yield estimation from static timing analysis
-
A. Gattiker, S. Nassif, R. Dinakar, and C. Long, "Timing yield estimation from static timing analysis," in Proc. ISQED, 2001, pp. 437-442.
-
(2001)
Proc. ISQED
, pp. 437-442
-
-
Gattiker, A.1
Nassif, S.2
Dinakar, R.3
Long, C.4
-
43
-
-
84954410406
-
Statistical delay computation considering spatial correlations
-
A. Agarwal, D. Blaauw, V. Zolotov, S. Sundareswaran, M. Zhou, K. Gala, and R. Panda, "Statistical delay computation considering spatial correlations," in Proc. ASP-DAC, 2003, pp. 271-276.
-
(2003)
Proc. ASP-DAC
, pp. 271-276
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
Sundareswaran, S.4
Zhou, M.5
Gala, K.6
Panda, R.7
-
44
-
-
27944448528
-
Statistical static timing analysis: How simple can we get?
-
C. Amin, N. Menezes, K. Killpack, F. Dartu, U. Choudhury, N. Hakim, and Y. Ismail, "Statistical static timing analysis: How simple can we get?" in Proc. DAC, 2005, pp. 652-657.
-
(2005)
Proc. DAC
, pp. 652-657
-
-
Amin, C.1
Menezes, N.2
Killpack, K.3
Dartu, F.4
Choudhury, U.5
Hakim, N.6
Ismail, Y.7
-
45
-
-
0031703206
-
A new statistical approach to timing analysis of VLSI circuits
-
Jan
-
R. Lin and M. Wu, "A new statistical approach to timing analysis of VLSI circuits," in Proc. Int. Conf. VLSI Des., Jan. 1998, pp. 507-513.
-
(1998)
Proc. Int. Conf. VLSI Des
, pp. 507-513
-
-
Lin, R.1
Wu, M.2
-
46
-
-
0033752199
-
Timing analysis of combinational circuits including capacitive coupling and statistical process variation
-
B. Choi and D. Walker, "Timing analysis of combinational circuits including capacitive coupling and statistical process variation," in Proc. Symp. VLSI Test, 2000, pp. 49-54.
-
(2000)
Proc. Symp. VLSI Test
, pp. 49-54
-
-
Choi, B.1
Walker, D.2
-
47
-
-
0036049629
-
A general probabilistic framework for worst case timing analysis
-
M. Orshansky and K. Keutzer, "A general probabilistic framework for worst case timing analysis," in Proc. DAC, 2002, pp. 556-561.
-
(2002)
Proc. DAC
, pp. 556-561
-
-
Orshansky, M.1
Keutzer, K.2
-
48
-
-
33646920106
-
On statistical timing analysis with inter-and intra-die variations
-
H. Mangassarian and M. Anis, "On statistical timing analysis with inter-and intra-die variations," in Proc. DATE, 2005, pp. 132-137.
-
(2005)
Proc. DATE
, pp. 132-137
-
-
Mangassarian, H.1
Anis, M.2
-
49
-
-
4444247313
-
Statistical timing analysis based on a timing yield model
-
F. Najm and N. Menezes, "Statistical timing analysis based on a timing yield model," in Proc. DAC, 2004, pp. 460-465.
-
(2004)
Proc. DAC
, pp. 460-465
-
-
Najm, F.1
Menezes, N.2
-
50
-
-
33751415873
-
Statistical timing analysis with two-sided constraints
-
K. Heloue and F. Najm, "Statistical timing analysis with two-sided constraints," in Proc. ICCAD, 2005, pp. 829-836.
-
(2005)
Proc. ICCAD
, pp. 829-836
-
-
Heloue, K.1
Najm, F.2
-
51
-
-
0000047083
-
Statistical delay calculation, a linear time method
-
M. Berkelaar, "Statistical delay calculation, a linear time method," in Proc. TAU Int. Workshop Timing, 1997, pp. 15-24.
-
(1997)
Proc. TAU Int. Workshop Timing
, pp. 15-24
-
-
Berkelaar, M.1
-
52
-
-
84949778735
-
A statistical static timing analysis considering correlations between delays
-
S. Tsukiyama, M. Tanaka, and M. Fukui, "A statistical static timing analysis considering correlations between delays," in Proc. ASP-DAC, 2001, pp. 353-358.
-
(2001)
Proc. ASP-DAC
, pp. 353-358
-
-
Tsukiyama, S.1
Tanaka, M.2
Fukui, M.3
-
53
-
-
4444279488
-
STAC: Statistical timing analysis with conelation
-
J. Le, X. Li, and L. Pileggi, "STAC: Statistical timing analysis with conelation," in Proc. DAC, 2004, pp. 343-348.
-
(2004)
Proc. DAC
, pp. 343-348
-
-
Le, J.1
Li, X.2
Pileggi, L.3
-
54
-
-
33646912201
-
Statistical timing analysis using levelized covariance propagation
-
K. Kang, B. Paul, and K. Roy, "Statistical timing analysis using levelized covariance propagation," in Proc. DATE, 2005, pp. 764-769.
-
(2005)
Proc. DATE
, pp. 764-769
-
-
Kang, K.1
Paul, B.2
Roy, K.3
-
55
-
-
0034842175
-
Fast statistical timing analysis by probabilistic event propagation
-
J. Liou, K. Cheng, S. Kundu, and A. Krstic, "Fast statistical timing analysis by probabilistic event propagation," in Proc. DAC, 2001, pp. 661-666.
-
(2001)
Proc. DAC
, pp. 661-666
-
-
Liou, J.1
Cheng, K.2
Kundu, S.3
Krstic, A.4
-
56
-
-
0036049286
-
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation
-
J. Liou, A. Krstic, L. Wang, and K. Cheng, "False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation," in Proc. DAC, 2002, pp. 566-569.
-
(2002)
Proc. DAC
, pp. 566-569
-
-
Liou, J.1
Krstic, A.2
Wang, L.3
Cheng, K.4
-
57
-
-
84962317930
-
Timing yield calculation using an impulse-train approach
-
S. Naidu, "Timing yield calculation using an impulse-train approach," in Proc. ASP-DAC, 2002, pp. 219-224.
-
(2002)
Proc. ASP-DAC
, pp. 219-224
-
-
Naidu, S.1
-
58
-
-
18144420677
-
Statistical timing analysis using bounds
-
A. Agarwal, D. Blaauw, V. Zolotov, and S. Vrudhula, "Statistical timing analysis using bounds," in Proc. DATE, 2003, pp. 62-67.
-
(2003)
Proc. DATE
, pp. 62-67
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
Vrudhula, S.4
-
59
-
-
0041633857
-
Computation and refinement of statistical bounds on circuit delay
-
A. Agarwal. D. Blaauw, V. Zolotov, and S. Vrudhula, "Computation and refinement of statistical bounds on circuit delay," in Proc. DAC, 2003, pp. 348-353.
-
(2003)
Proc. DAC
, pp. 348-353
-
-
Agarwal, A.1
Blaauw, D.2
Zolotov, V.3
Vrudhula, S.4
-
60
-
-
0347409182
-
τAU: Timing analysis under uncertainty
-
S. Bhardwaj, S. Vrudhula, and D. Blaauw, "τAU: Timing analysis under uncertainty," in Proc. ICCAD, 2003, pp. 615-620.
-
(2003)
Proc. ICCAD
, pp. 615-620
-
-
Bhardwaj, S.1
Vrudhula, S.2
Blaauw, D.3
-
61
-
-
0348040110
-
Block-based static timing analysis with uncertainty
-
A. Devgan and C. Kashyap, "Block-based static timing analysis with uncertainty," in Proc. ICCAD, 2003, pp. 607-614.
-
(2003)
Proc. ICCAD
, pp. 607-614
-
-
Devgan, A.1
Kashyap, C.2
-
62
-
-
16244411094
-
Efficient statistical timing analysis through error budgeting
-
V. Khandelwal, A. Davoodi, and A. Srivastava, "Efficient statistical timing analysis through error budgeting," in Proc. ICCAD, 2004, pp. 473-477.
-
(2004)
Proc. ICCAD
, pp. 473-477
-
-
Khandelwal, V.1
Davoodi, A.2
Srivastava, A.3
-
63
-
-
33751085762
-
Forward discrete probability propa-gation method for device performance characterization under process variations
-
R. Topaloglu and A. Orailoglu, "Forward discrete probability propa-gation method for device performance characterization under process variations," in Proc. ASP-DAC, 2005, pp. 220-223.
-
(2005)
Proc. ASP-DAC
, pp. 220-223
-
-
Topaloglu, R.1
Orailoglu, A.2
-
64
-
-
0141538211
-
Explicit computation of performance as a function of process variation
-
L. Scheffer, "Explicit computation of performance as a function of process variation," in Proc. TAU Int. Workshop Timing, 2002, pp. 1-8.
-
(2002)
Proc. TAU Int. Workshop Timing
, pp. 1-8
-
-
Scheffer, L.1
-
66
-
-
84860357717
-
Models of process variations in device and interconnect
-
A. Chandrakasan, Ed. Piscataway, NJ: IEEE Press
-
D. Boning and S. Nassif, "Models of process variations in device and interconnect," in Design of High-Performance Microprocessor Circuits, A. Chandrakasan, Ed. Piscataway, NJ: IEEE Press, 2000.
-
(2000)
Design of High-Performance Microprocessor Circuits
-
-
Boning, D.1
Nassif, S.2
-
67
-
-
27944460031
-
Mapping statistical process variations toward circuit performance variability: An analytical modeling approach
-
Y. Cao and L. Clark, "Mapping statistical process variations toward circuit performance variability: An analytical modeling approach," in Proc. DAC, 2005, pp. 658-663.
-
(2005)
Proc. DAC
, pp. 658-663
-
-
Cao, Y.1
Clark, L.2
-
68
-
-
33745945256
-
Robust extraction of spatial conelation
-
J. Xiong, V. Zolotov, and L. He, "Robust extraction of spatial conelation," in Proc. ISPD, 2006, pp. 2-9.
-
(2006)
Proc. ISPD
, pp. 2-9
-
-
Xiong, J.1
Zolotov, V.2
He, L.3
-
69
-
-
33745953742
-
Non-Gaussian statistical parameter modeling for SSTA with confidence interval analysis
-
L. Zhang, J. Shao, and C. Chen, "Non-Gaussian statistical parameter modeling for SSTA with confidence interval analysis," in Proc. ISPD, 2006, pp. 33-38.
-
(2006)
Proc. ISPD
, pp. 33-38
-
-
Zhang, L.1
Shao, J.2
Chen, C.3
-
70
-
-
79951862555
-
Variogram based robust extraction of process variation
-
K. Chopra, N. Shenoy, and D. Blaauw, "Variogram based robust extraction of process variation," in Proc. TAU Int. Workshop Timing, 2007, pp. 112-117.
-
(2007)
Proc. TAU Int. Workshop Timing
, pp. 112-117
-
-
Chopra, K.1
Shenoy, N.2
Blaauw, D.3
-
71
-
-
41549091844
-
How to construct spatial correlation models: A mathematical approach
-
F. Liu, "How to construct spatial correlation models: A mathematical approach," in Proc. TAU Int. Workshop Timing, 2007, pp. 106-111.
-
(2007)
Proc. TAU Int. Workshop Timing
, pp. 106-111
-
-
Liu, F.1
-
72
-
-
41549090501
-
Analysis and modeling of CD variation for statistical static timing
-
B. Cline, K. Chopra, and D. Blaauw, "Analysis and modeling of CD variation for statistical static timing," in Proc. ICCAD, 2006, pp. 60-66.
-
(2006)
Proc. ICCAD
, pp. 60-66
-
-
Cline, B.1
Chopra, K.2
Blaauw, D.3
-
73
-
-
34547152233
-
Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits
-
S. Bhardwaj, S. Vrudhula, P. Ghanta, and Y. Cao, "Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits," in Proc. DAC, 2006, pp. 791-796.
-
(2006)
Proc. DAC
, pp. 791-796
-
-
Bhardwaj, S.1
Vrudhula, S.2
Ghanta, P.3
Cao, Y.4
-
74
-
-
33751394193
-
Statistical gate sizing for timing yield optimization
-
D. Sinha, N. Shenoy, and H. Zhou, "Statistical gate sizing for timing yield optimization," in Proc. ICCAD, 2005, pp. 1037-1041.
-
(2005)
Proc. ICCAD
, pp. 1037-1041
-
-
Sinha, D.1
Shenoy, N.2
Zhou, H.3
-
75
-
-
33646916288
-
Statistical timing analysis with extended pseudo-canonical timing model
-
L. Zhang, W. Chen, Y. Hu, and C. Chen, "Statistical timing analysis with extended pseudo-canonical timing model," in Proc. DATE, 2005, pp. 952-957.
-
(2005)
Proc. DATE
, pp. 952-957
-
-
Zhang, L.1
Chen, W.2
Hu, Y.3
Chen, C.4
-
76
-
-
41549123284
-
Block based statistical timing analysis with extended canonical timing model
-
L. Zhang, Y. Hu, and C. Chen, "Block based statistical timing analysis with extended canonical timing model," in Proc. ASP-DAC, 2005, pp. 250-253.
-
(2005)
Proc. ASP-DAC
, pp. 250-253
-
-
Zhang, L.1
Hu, Y.2
Chen, C.3
-
77
-
-
34047104560
-
Statistical timing analysis with path reconvergence and spatial conelations
-
L. Zhang, Y. Hu, and C. Chen, "Statistical timing analysis with path reconvergence and spatial conelations," in Proc. DATE, 2006, pp. 528-532.
-
(2006)
Proc. DATE
, pp. 528-532
-
-
Zhang, L.1
Hu, Y.2
Chen, C.3
-
78
-
-
16244393708
-
Asymptotic probability extraction for non-normal distributions of circuit performance
-
X. Li, J. Le, P. Gopalakrishnan, and L. Pileggi, "Asymptotic probability extraction for non-normal distributions of circuit performance," in Proc. ICCAD, 2004, pp. 2-9.
-
(2004)
Proc. ICCAD
, pp. 2-9
-
-
Li, X.1
Le, J.2
Gopalakrishnan, P.3
Pileggi, L.4
-
79
-
-
0346778705
-
A statistical gate-delay model considering intra-gate variability
-
K. Okada, K. Yamaoka, and H. Onodera, "A statistical gate-delay model considering intra-gate variability," in Proc. ICCAD, 2003, pp. 908-913.
-
(2003)
Proc. ICCAD
, pp. 908-913
-
-
Okada, K.1
Yamaoka, K.2
Onodera, H.3
-
80
-
-
84954420400
-
A statistical gate delay model for intra-chip and inter-chip variabilities
-
K. Okada, K. Yamaoka, and H. Onodera, "A statistical gate delay model for intra-chip and inter-chip variabilities," in Proc. ASP-DAC, 2003, pp. 31-36.
-
(2003)
Proc. ASP-DAC
, pp. 31-36
-
-
Okada, K.1
Yamaoka, K.2
Onodera, H.3
-
81
-
-
4444374515
-
Statistical gate delay model considering multiple input switching
-
A. Agarwal, F. Dartu, and D. Blaauw, "Statistical gate delay model considering multiple input switching," in Proc. DAC, 2004, pp. 658-663.
-
(2004)
Proc. DAC
, pp. 658-663
-
-
Agarwal, A.1
Dartu, F.2
Blaauw, D.3
-
82
-
-
33646939014
-
A probabilistic collocation method based statistical gate delay model considering process variations and multiple input switching
-
Y. Kumar, J. Li, C. Talarico, and J. Wang, "A probabilistic collocation method based statistical gate delay model considering process variations and multiple input switching," in Proc. DATE, 2005, pp. 770-775.
-
(2005)
Proc. DATE
, pp. 770-775
-
-
Kumar, Y.1
Li, J.2
Talarico, C.3
Wang, J.4
-
83
-
-
33748567108
-
VGTA: Variation-aware gate timing analysis
-
S. Abbaspour, H. Fatemi, and M. Pedram, "VGTA: Variation-aware gate timing analysis," in Proc. ICCD, 2006, pp. 351-356.
-
(2006)
Proc. ICCD
, pp. 351-356
-
-
Abbaspour, S.1
Fatemi, H.2
Pedram, M.3
-
84
-
-
33748615408
-
Parameterized block-based non-Gaussian statistical gate timing analysis
-
S. Abbaspour, H. Fatemi, and M. Pedram, "Parameterized block-based non-Gaussian statistical gate timing analysis," in Proc. ASP-DAC, 2006, pp. 947-952.
-
(2006)
Proc. ASP-DAC
, pp. 947-952
-
-
Abbaspour, S.1
Fatemi, H.2
Pedram, M.3
-
85
-
-
33751425916
-
A unified framework for statistical timing analysis with coupling and multiple input switching
-
D. Sinha and H. Zhou, "A unified framework for statistical timing analysis with coupling and multiple input switching," in Proc. ICCAD, 2005, pp. 837-843.
-
(2005)
Proc. ICCAD
, pp. 837-843
-
-
Sinha, D.1
Zhou, H.2
-
86
-
-
33750908758
-
Statistical modeling of cross-coupling effects in VLSI interconnects
-
M. Agarwal, K. Agarwal, D. Sylvester, and D. Blaauw, "Statistical modeling of cross-coupling effects in VLSI interconnects," in Proc. ASP-DAC, 2005, pp. 503-506.
-
(2005)
Proc. ASP-DAC
, pp. 503-506
-
-
Agarwal, M.1
Agarwal, K.2
Sylvester, D.3
Blaauw, D.4
-
87
-
-
0032641923
-
Model order-reduction of RC(L) interconnect including variational analysis
-
Y. Liu, L. Pileggi, and A. Strojwas, "Model order-reduction of RC(L) interconnect including variational analysis," in Proc. DAC, 1999, pp. 201-206.
-
(1999)
Proc. DAC
, pp. 201-206
-
-
Liu, Y.1
Pileggi, L.2
Strojwas, A.3
-
88
-
-
0035215357
-
Model reduction of variable-geometry interconnects using variational spectrally-weighted balanced truncation
-
P. Heydari and M. Pedram, "Model reduction of variable-geometry interconnects using variational spectrally-weighted balanced truncation," in Proc. ICCAD, 2001, pp. 586-591.
-
(2001)
Proc. ICCAD
, pp. 586-591
-
-
Heydari, P.1
Pedram, M.2
-
89
-
-
4444233784
-
A linear fractional transform (LFT) based model for interconnect parametric uncertainty
-
J. Wang and O. Hafiz, "A linear fractional transform (LFT) based model for interconnect parametric uncertainty," in Proc. ISQED, 2004, pp. 375-380.
-
(2004)
Proc. ISQED
, pp. 375-380
-
-
Wang, J.1
Hafiz, O.2
-
90
-
-
16244379528
-
Stochastic analysis of interconnect performance in the presence of process variations
-
J. Wang, P. Ghanta, and S. Vrudhula, "Stochastic analysis of interconnect performance in the presence of process variations," in Proc. ICCAD, 2004, pp. 880-886.
-
(2004)
Proc. ICCAD
, pp. 880-886
-
-
Wang, J.1
Ghanta, P.2
Vrudhula, S.3
-
91
-
-
4444343172
-
Variational delay metrics for interconnect timing analysis
-
K. Agarwal, D. Sylvester, D. Blaauw, F. Liu, S. Nassif, and S. Vrudhula, "Variational delay metrics for interconnect timing analysis," in Proc. DAC, 2004, pp. 381-384.
-
(2004)
Proc. DAC
, pp. 381-384
-
-
Agarwal, K.1
Sylvester, D.2
Blaauw, D.3
Liu, F.4
Nassif, S.5
Vrudhula, S.6
-
92
-
-
34548346740
-
Variational interconnect delay metrics for statistical timing analysis
-
P. Ghanta and S. Vrudhula, "Variational interconnect delay metrics for statistical timing analysis," in Proc. ISQED, 2006. pp. 19-24.
-
(2006)
Proc. ISQED
, pp. 19-24
-
-
Ghanta, P.1
Vrudhula, S.2
-
93
-
-
33751418350
-
Efficient statistical capacitance variability modeling with orthogonal principle factor analysis
-
R. Jiang, W. Fu, J. Wang, V. Lin, and C. Chen, "Efficient statistical capacitance variability modeling with orthogonal principle factor analysis," in Proc. ICCAD, 2005, pp. 683-690.
-
(2005)
Proc. ICCAD
, pp. 683-690
-
-
Jiang, R.1
Fu, W.2
Wang, J.3
Lin, V.4
Chen, C.5
-
94
-
-
33751435853
-
Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations
-
X. Li, P. Li, and L. Pileggi, "Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations," in Proc. ICCAD, 2005, pp. 806-812.
-
(2005)
Proc. ICCAD
, pp. 806-812
-
-
Li, X.1
Li, P.2
Pileggi, L.3
-
95
-
-
16244379543
-
Interval-valued reduced order statistical interconnect modeling
-
J. Ma and R. Rutenbar, "Interval-valued reduced order statistical interconnect modeling," in Proc. ICCAD, 2004, pp. 460-467.
-
(2004)
Proc. ICCAD
, pp. 460-467
-
-
Ma, J.1
Rutenbar, R.2
-
96
-
-
33748609352
-
A probabilistic analysis of pipelined global interconnect under process variations
-
N. Kankani, V. Agarwal, and J. Wang, "A probabilistic analysis of pipelined global interconnect under process variations," in Proc. ASP-DAC, 2006, pp. 724-729.
-
(2006)
Proc. ASP-DAC
, pp. 724-729
-
-
Kankani, N.1
Agarwal, V.2
Wang, J.3
-
97
-
-
33748616504
-
Statistical comer conditions of interconnect delay (corner LPE specifications)
-
K. Yamada and N. Oda, "Statistical comer conditions of interconnect delay (corner LPE specifications)," in Proc. ASP-DAC, 2006, pp. 706-711.
-
(2006)
Proc. ASP-DAC
, pp. 706-711
-
-
Yamada, K.1
Oda, N.2
-
98
-
-
34047104045
-
Non-Gaussian statistical interconnect timing analysis
-
S. Abbaspour, H. Fatemi, and M. Pedram, "Non-Gaussian statistical interconnect timing analysis," in Proc. DATE, 2006, pp. 1-6.
-
(2006)
Proc. DATE
, pp. 1-6
-
-
Abbaspour, S.1
Fatemi, H.2
Pedram, M.3
-
99
-
-
0026964018
-
Graph algorithms for clock schedule optimization
-
N. Shenoy, R. Brayton, and A. Sangiovanni-Vincentelli, "Graph algorithms for clock schedule optimization," in Proc. ICCAD, 1992, pp. 132-136.
-
(1992)
Proc. ICCAD
, pp. 132-136
-
-
Shenoy, N.1
Brayton, R.2
Sangiovanni-Vincentelli, A.3
-
100
-
-
33751414776
-
Parametric yield maximization using gate sizing based on efficient Statistical power and delay gradient computation
-
K. Chopra, S. Shah, A. Srivastava, D. Blaauw, and D. Sylvester, "Parametric yield maximization using gate sizing based on efficient Statistical power and delay gradient computation," in Proc. ICCAD, 2005, pp. 1023-1028.
-
(2005)
Proc. ICCAD
, pp. 1023-1028
-
-
Chopra, K.1
Shah, S.2
Srivastava, A.3
Blaauw, D.4
Sylvester, D.5
-
101
-
-
34547188326
-
Criticality computation in parameterized Statistical timing
-
J. Xiong, V. Zolotov, N. Venkateswaran, and C. Visweswariah, "Criticality computation in parameterized Statistical timing," in Proc. DAC, 2006, pp. 63-68.
-
(2006)
Proc. DAC
, pp. 63-68
-
-
Xiong, J.1
Zolotov, V.2
Venkateswaran, N.3
Visweswariah, C.4
-
102
-
-
33751398442
-
Defining Statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations
-
X. Li, J. Le, M. Celik, and L. Pileggi, "Defining Statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations," in Proc. ICCAD, 2005, pp. 844-851.
-
(2005)
Proc. ICCAD
, pp. 844-851
-
-
Li, X.1
Le, J.2
Celik, M.3
Pileggi, L.4
-
103
-
-
0033720722
-
A performance optimization method by gate sizing using statistical static timing analysis
-
M. Hashimoto and H. Onodera, "A performance optimization method by gate sizing using statistical static timing analysis," in Proc. ISPD, 2000, pp. 111-116.
-
(2000)
Proc. ISPD
, pp. 111-116
-
-
Hashimoto, M.1
Onodera, H.2
-
104
-
-
27944447029
-
Gate sizing using a statistical delay model
-
E. Jacobs and M. Berkelaar, "Gate sizing using a statistical delay model," in Proc. DATE, 2000, pp. 283-290.
-
(2000)
Proc. DATE
, pp. 283-290
-
-
Jacobs, E.1
Berkelaar, M.2
-
105
-
-
4444277442
-
Statistical optimization of leakage power considering process variations using dual-Vth and sizing
-
A. Srivastava, D. Sylvester, and D. Blaauw, "Statistical optimization of leakage power considering process variations using dual-Vth and sizing," in Proc. DAC, 2004, pp. 773-778.
-
(2004)
Proc. DAC
, pp. 773-778
-
-
Srivastava, A.1
Sylvester, D.2
Blaauw, D.3
-
106
-
-
4444333242
-
A methodology to improve timing yield in the presence of process variations
-
S. Raj, S. Vrudhula, and J. Wang, "A methodology to improve timing yield in the presence of process variations," in Proc. DAC, 2004, pp. 448-453.
-
(2004)
Proc. DAC
, pp. 448-453
-
-
Raj, S.1
Vrudhula, S.2
Wang, J.3
-
107
-
-
16244384194
-
Statistical design and optimization of SRAM cell for yield enhancement
-
S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Statistical design and optimization of SRAM cell for yield enhancement," in Proc. ICCAD, 2004, pp. 10-13.
-
(2004)
Proc. ICCAD
, pp. 10-13
-
-
Mukhopadhyay, S.1
Mahmoodi, H.2
Roy, K.3
-
108
-
-
2442489992
-
A multiple level network approach for clock skew minimization with process variations
-
M. Mori, H. Chen, B. Yao, and C. Cheng, "A multiple level network approach for clock skew minimization with process variations," in Proc. ASP-DAC, 2004, pp. 263-268.
-
(2004)
Proc. ASP-DAC
, pp. 263-268
-
-
Mori, M.1
Chen, H.2
Yao, B.3
Cheng, C.4
-
109
-
-
4444237810
-
Re-synthesis for delay variation tolerance
-
S. Chang, C. Hsieh, and K. Wu, "Re-synthesis for delay variation tolerance," in Proc. DAC, 2004, pp. 814-819.
-
(2004)
Proc. DAC
, pp. 814-819
-
-
Chang, S.1
Hsieh, C.2
Wu, K.3
-
110
-
-
4444264520
-
Novel sizing algorithm for yield improvement under process variation in nanometer technology
-
S. Choi, B. Paul, and K. Roy, "Novel sizing algorithm for yield improvement under process variation in nanometer technology," in Proc. DAC, 2004, pp. 454-459.
-
(2004)
Proc. DAC
, pp. 454-459
-
-
Choi, S.1
Paul, B.2
Roy, K.3
-
111
-
-
3042522752
-
Statistically aware buffer planning
-
G. Garcea, N. Meijs, K. Kolk, andR. Otten, "Statistically aware buffer planning," in Proc. DATE, 2004, pp. 1402-1403.
-
(2004)
Proc. DATE
, pp. 1402-1403
-
-
Garcea, G.1
Meijs, N.2
Kolk, K.3
andR4
Otten5
-
112
-
-
16244383507
-
A yield improvement methodology using pre- and post-silicon statistical clock scheduling
-
J. Tsai, D. Baik, C. Chen, and K. Saluja, "A yield improvement methodology using pre- and post-silicon statistical clock scheduling," in Proc. ICCAD, 2004, pp. 611-618.
-
(2004)
Proc. ICCAD
, pp. 611-618
-
-
Tsai, J.1
Baik, D.2
Chen, C.3
Saluja, K.4
-
113
-
-
27944441297
-
An efficient algorithm for statistical minimization of total power under timing yield constraints
-
M. Mani, A. Devgan, and M. Orshansky, "An efficient algorithm for statistical minimization of total power under timing yield constraints," in Proc. DAC, 2005, pp. 309-314.
-
(2005)
Proc. DAC
, pp. 309-314
-
-
Mani, M.1
Devgan, A.2
Orshansky, M.3
-
114
-
-
27944476890
-
Circuit optimization using statistical static timing analysis
-
A. Agarwal, K. Chopra, D. Blaauw, and V. Zolotov, "Circuit optimization using statistical static timing analysis," in Proc. DAC, 2005, pp. 321-324.
-
(2005)
Proc. DAC
, pp. 321-324
-
-
Agarwal, A.1
Chopra, K.2
Blaauw, D.3
Zolotov, V.4
-
115
-
-
27944502914
-
Leakage minimization of nano-scale circuits in the presence of systematic and random variations
-
S. Bhardwaj and S. Vrudhula, "Leakage minimization of nano-scale circuits in the presence of systematic and random variations," in Proc. DAC, 2005. pp. 541-546.
-
(2005)
Proc. DAC
, pp. 541-546
-
-
Bhardwaj, S.1
Vrudhula, S.2
-
116
-
-
27944492787
-
Robust gate sizing by geometric programming
-
J. Singh, V. Nookala, Z. Luo, and S. Sapatnekar, "Robust gate sizing by geometric programming," in Proc. DAC, 2005, pp. 315-320.
-
(2005)
Proc. DAC
, pp. 315-320
-
-
Singh, J.1
Nookala, V.2
Luo, Z.3
Sapatnekar, S.4
-
117
-
-
28444435971
-
Probabilistic dual-Vth leakage optimization under variability
-
A. Davoodi and A. Srivastava, "Probabilistic dual-Vth leakage optimization under variability," in Proc. ISLPED, 2005, pp. 143-148.
-
(2005)
Proc. ISLPED
, pp. 143-148
-
-
Davoodi, A.1
Srivastava, A.2
-
118
-
-
0346148447
-
A probabilistic approach to buffer insertion
-
V. Khandelwal, A. Davoodi, A. Nanavati, and A. Srivastava, "A probabilistic approach to buffer insertion," in Proc. ICCAD, 2003, pp. 560-567.
-
(2003)
Proc. ICCAD
, pp. 560-567
-
-
Khandelwal, V.1
Davoodi, A.2
Nanavati, A.3
Srivastava, A.4
-
119
-
-
0036054545
-
Uncertainty-aware circuit optimization
-
X. Bai, C. Visweswariah, and P. Strenski, "Uncertainty-aware circuit optimization," in Proc. DAC, 2002, pp. 58-63.
-
(2002)
Proc. DAC
, pp. 58-63
-
-
Bai, X.1
Visweswariah, C.2
Strenski, P.3
-
120
-
-
33751404863
-
Statistical technology mapping for parametric yield
-
A. Singh, M. Mani, and M. Orshansky, "Statistical technology mapping for parametric yield," in Proc. ICCAD, 2005, pp. 511-518.
-
(2005)
Proc. ICCAD
, pp. 511-518
-
-
Singh, A.1
Mani, M.2
Orshansky, M.3
-
121
-
-
33751393915
-
Statistical critical path analysis considering correlations
-
Y. Zhan, A. Strojwas, M. Sharma, and D. Newmark, "Statistical critical path analysis considering correlations," in Proc. ICCAD, 2005, pp. 699-704.
-
(2005)
Proc. ICCAD
, pp. 699-704
-
-
Zhan, Y.1
Strojwas, A.2
Sharma, M.3
Newmark, D.4
-
122
-
-
33751428898
-
An efficient method for terminal reduction of interconnect circuits considering delay variations
-
P. Liu, S. Tan, H. Li, Z. Qi, J. Kong, B. McGaughy. and L. He, "An efficient method for terminal reduction of interconnect circuits considering delay variations," in Proc. ICCAD, 2005, pp. 821-826.
-
(2005)
Proc. ICCAD
, pp. 821-826
-
-
Liu, P.1
Tan, S.2
Li, H.3
Qi, Z.4
Kong, J.5
McGaughy, B.6
He, L.7
-
123
-
-
33751408241
-
Gate sizing using incremental parameterized statistical timing analysis
-
M. Guthaus. N. Venkateswarant. C. Visweswariah, and V. Zolotov, "Gate sizing using incremental parameterized statistical timing analysis," in Proc. ICCAD, 2005, pp. 1029-1036.
-
(2005)
Proc. ICCAD
, pp. 1029-1036
-
-
Guthaus, M.1
Venkateswarant, N.2
Visweswariah, C.3
Zolotov, V.4
-
124
-
-
33646931776
-
Buffer insertion considering process variation
-
J. Xiong, K. Tam, and L. He, "Buffer insertion considering process variation," in Proc. DATE, 2005, pp. 970-975.
-
(2005)
Proc. DATE
, pp. 970-975
-
-
Xiong, J.1
Tam, K.2
He, L.3
-
125
-
-
33646909654
-
Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100 nm technologies
-
A. Datta, S. Bhunia, S. Mukhopadhyay, N. Banerjee, and K. Roy, "Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100 nm technologies," in Proc. DATE, 2005, pp. 926-931.
-
(2005)
Proc. DATE
, pp. 926-931
-
-
Datta, A.1
Bhunia, S.2
Mukhopadhyay, S.3
Banerjee, N.4
Roy, K.5
-
126
-
-
33646928098
-
Statistical timing based optimization using gate sizing
-
A. Agarwal, K. Chopra, and D. Blaauw, "Statistical timing based optimization using gate sizing," in Proc. DATE, 2005, pp. 400-405.
-
(2005)
Proc. DATE
, pp. 400-405
-
-
Agarwal, A.1
Chopra, K.2
Blaauw, D.3
-
127
-
-
33646901050
-
Improving the process-variation tolerance of digital circuits using gate sizing and statistical techniques
-
O. Neiroukh and X. Song, "Improving the process-variation tolerance of digital circuits using gate sizing and statistical techniques," in Proc. DATE, 2005, pp. 294-299.
-
(2005)
Proc. DATE
, pp. 294-299
-
-
Neiroukh, O.1
Song, X.2
-
128
-
-
33646735266
-
Yield driven gate sizing for coupling-noise reduction under uncertainty
-
D. Sinha and H. Zhou, "Yield driven gate sizing for coupling-noise reduction under uncertainty," in Proc. ASP-DAC, 2005, pp. 192-197.
-
(2005)
Proc. ASP-DAC
, pp. 192-197
-
-
Sinha, D.1
Zhou, H.2
-
129
-
-
33751423844
-
Statistical based link insertion for robust clock network design
-
W. Lam, J. Jam, C. Koh, V. Balakrishnan, and Y. Chen, "Statistical based link insertion for robust clock network design," in Proc. ICCAD, 2005, pp. 588-591.
-
(2005)
Proc. ICCAD
, pp. 588-591
-
-
Lam, W.1
Jam, J.2
Koh, C.3
Balakrishnan, V.4
Chen, Y.5
-
130
-
-
33751439543
-
Statistical timing analysis driven post-silicontunable clock-tree synthesis
-
J. Tsai and L. Zhang, "Statistical timing analysis driven post-silicontunable clock-tree synthesis," in Proc. ICCAD, 2005, pp. 575-581.
-
(2005)
Proc. ICCAD
, pp. 575-581
-
-
Tsai, J.1
Zhang, L.2
-
131
-
-
84861436696
-
Skew scheduling and clock routing for improved tolerance to process variations
-
G. Venkataraman, C. Sze, and J. Hu, "Skew scheduling and clock routing for improved tolerance to process variations," in Proc. ASP-DAC, 2005, pp. 594-599.
-
(2005)
Proc. ASP-DAC
, pp. 594-599
-
-
Venkataraman, G.1
Sze, C.2
Hu, J.3
-
132
-
-
33745940293
-
Process variation robust clock tree routing
-
W. Lam and C. Koh, "Process variation robust clock tree routing," in Proc. ASP-DAC, 2005, pp. 606-611.
-
(2005)
Proc. ASP-DAC
, pp. 606-611
-
-
Lam, W.1
Koh, C.2
-
133
-
-
33748618417
-
An exact algorithm for the statistical shortest path problem
-
L. Deng and M. Wong, "An exact algorithm for the statistical shortest path problem," in Proc. ASP-DAC, 2006, pp. 965-970.
-
(2006)
Proc. ASP-DAC
, pp. 965-970
-
-
Deng, L.1
Wong, M.2
-
134
-
-
33748605033
-
Process-induced skew reduction in nominal zero-skew clock trees
-
M. Guthaus, D. Sylvester, and R. Brown, "Process-induced skew reduction in nominal zero-skew clock trees," in Proc. ASP-DAC, 2006, pp. 84-89.
-
(2006)
Proc. ASP-DAC
, pp. 84-89
-
-
Guthaus, M.1
Sylvester, D.2
Brown, R.3
-
135
-
-
33748605292
-
Speed binning aware design methodology to improve profit under parameter variations
-
A. Datta, S. Bhunia, J. H. Choi, S. Mukhopadhyay, and K. Roy, "Speed binning aware design methodology to improve profit under parameter variations," in Proc. ASP-DAC, 2006, pp. 712-717.
-
(2006)
Proc. ASP-DAC
, pp. 712-717
-
-
Datta, A.1
Bhunia, S.2
Choi, J.H.3
Mukhopadhyay, S.4
Roy, K.5
-
136
-
-
33748628227
-
Yield-area optimizations of digital circuits using non-dominated sorting genetic algorithm (yoga)
-
V. Agarwal and J. Wang, "Yield-area optimizations of digital circuits using non-dominated sorting genetic algorithm (yoga)," in Proc. ASP-DAC, 2006, pp. 718-723.
-
(2006)
Proc. ASP-DAC
, pp. 718-723
-
-
Agarwal, V.1
Wang, J.2
-
137
-
-
33748617849
-
Statistical Bellman-Ford algorithm with an application to retiming
-
M. Ekpanyapong, T. Waterwai, and S. Lim, "Statistical Bellman-Ford algorithm with an application to retiming," in Proc. ASP-DAC, 2006, pp. 959-964.
-
(2006)
Proc. ASP-DAC
, pp. 959-964
-
-
Ekpanyapong, M.1
Waterwai, T.2
Lim, S.3
-
138
-
-
33748614836
-
Yield-preferred via insertion based on novel geotopological technology
-
F. Luo, Y. Jia, and W. Dai, "Yield-preferred via insertion based on novel geotopological technology," in Proc. ASP-DAC, 2006, pp. 730-735.
-
(2006)
Proc. ASP-DAC
, pp. 730-735
-
-
Luo, F.1
Jia, Y.2
Dai, W.3
-
139
-
-
84886702569
-
A new method for design of robust digital circuits
-
D. Patil, S. Yun, S. Kim, A. Cheung, M. Horowitz, and S. Boyd, "A new method for design of robust digital circuits," in Proc. ISQED, 2005, pp. 676-681.
-
(2005)
Proc. ISQED
, pp. 676-681
-
-
Patil, D.1
Yun, S.2
Kim, S.3
Cheung, A.4
Horowitz, M.5
Boyd, S.6
-
140
-
-
33745953944
-
Probabilistic evaluation of solutions in variability-driven optimization
-
A. Davoodi and A. Srivastava, "Probabilistic evaluation of solutions in variability-driven optimization," in Proc. ISPD, 2006, pp. 17-24.
-
(2006)
Proc. ISPD
, pp. 17-24
-
-
Davoodi, A.1
Srivastava, A.2
-
141
-
-
0041692492
-
Performance sensitivity analysis using statistical method and its applications to delay testing
-
J. Liou, A. Krstic, K. Cheng, D. Mukherjee, and S. Kundu, "Performance sensitivity analysis using statistical method and its applications to delay testing," in Proc. ASP-DAC, 2000, pp. 587-592.
-
(2000)
Proc. ASP-DAC
, pp. 587-592
-
-
Liou, J.1
Krstic, A.2
Cheng, K.3
Mukherjee, D.4
Kundu, S.5
-
142
-
-
0042134665
-
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models
-
A. Krstic, L. Wang, K. Cheng, J. Liou, and T. Mak, "Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models," in Proc. DAC, 2003, pp. 668-673.
-
(2003)
Proc. DAC
, pp. 668-673
-
-
Krstic, A.1
Wang, L.2
Cheng, K.3
Liou, J.4
Mak, T.5
-
143
-
-
84893805472
-
Delay defect diagnosis based upon statistical timing models - The first step
-
A. Krstic, L. Wang, K. Cheng, J. Liou, and M. Abadir, "Delay defect diagnosis based upon statistical timing models - The first step," in Proc. DATE, 2003, pp. 328-333.
-
(2003)
Proc. DATE
, pp. 328-333
-
-
Krstic, A.1
Wang, L.2
Cheng, K.3
Liou, J.4
Abadir, M.5
-
144
-
-
84861443625
-
Evaluation of the statistical delay quality model
-
Y. Sato, S. Hamada, T. Maeda, A. Takaton, and S. Kajihara, "Evaluation of the statistical delay quality model," in Proc. ASP-DAC, 2005, pp. 305-310.
-
(2005)
Proc. ASP-DAC
, pp. 305-310
-
-
Sato, Y.1
Hamada, S.2
Maeda, T.3
Takaton, A.4
Kajihara, S.5
-
145
-
-
2442557252
-
Longest path selection for delay test under process variation
-
X. Lu, Z. Li, W. Qiu, D. Walker, and W. Shi. "Longest path selection for delay test under process variation," in Proc. ASP-DAC, 2004, pp. 98-103.
-
(2004)
Proc. ASP-DAC
, pp. 98-103
-
-
Lu, X.1
Li, Z.2
Qiu, W.3
Walker, D.4
Shi, W.5
-
146
-
-
4444301681
-
On path-based learning and its applications in delay test and diagnosis
-
L. Wang, T. Mak, K. Cheng, and M. Abadir, "On path-based learning and its applications in delay test and diagnosis," in Proc. DAC, 2004, pp. 492-497.
-
(2004)
Proc. DAC
, pp. 492-497
-
-
Wang, L.1
Mak, T.2
Cheng, K.3
Abadir, M.4
-
147
-
-
41549095894
-
-
Taiwan Semiconductor Manufacturing Corporation, Reference flow 7.0 release, 2006. Press Release.
-
Taiwan Semiconductor Manufacturing Corporation, Reference flow 7.0 release, 2006. Press Release.
-
-
-
|