-
1
-
-
35348819913
-
Rotary router: An efficient architecture for CMP interconnection networks
-
P. Abad, V. Puente, J. A. Gregorio, and P. Prieto. Rotary router: an efficient architecture for CMP interconnection networks. ACM SICARCH Computer Architecture News, 35(2):116-125, 2007.
-
(2007)
ACM SICARCH Computer Architecture News
, vol.35
, Issue.2
, pp. 116-125
-
-
Abad, P.1
Puente, V.2
Gregorio, J.A.3
Prieto, P.4
-
2
-
-
52649110911
-
The pleiades architecture
-
A. Abnous, H. Zhang, M. Wan, G. Varghese, V. Prabhu, and J. Rabaey. The pleiades architecture. The Application of Programmable DSPs in Mobile Communications, pages 327-360, 2002.
-
(2002)
The Application of Programmable DSPs in Mobile Communications
, pp. 327-360
-
-
Abnous, A.1
Zhang, H.2
Wan, M.3
Varghese, G.4
Prabhu, V.5
Rabaey, J.6
-
4
-
-
33748849061
-
Bulletproof: A defecttolerant CMP switch architecture
-
K. Constantinides, S. Plaza, B. Z. Jason Blome, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky. Bulletproof: A defecttolerant CMP switch architecture. In Proc. of the International Symposium on High-Performance Computer Architecture, pages 5-16, 2006.
-
(2006)
Proc. of the International Symposium on High-Performance Computer Architecture
, pp. 5-16
-
-
Constantinides, K.1
Plaza, S.2
Jason Blome, B.Z.3
Bertacco, V.4
Mahlke, S.5
Austin, T.6
Orshansky, M.7
-
5
-
-
21244433563
-
Spidergon: A novel on-chip communication network
-
M. Coppola, R. Locatelli, G. Maruccia, L. Pieralisi, and A. Scandurra. Spidergon: a novel on-chip communication network. In Proc. of the International Symposium on System-on-Chip, page 15, 2004.
-
(2004)
Proc. of the International Symposium on System-on-Chip
, pp. 15
-
-
Coppola, M.1
Locatelli, R.2
Maruccia, G.3
Pieralisi, L.4
Scandurra, A.5
-
9
-
-
0023346637
-
Deadlock-free message routing in multiprocessor interconnection networks
-
W. J. Dally and C. L. Seitz. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Transactions on Computers, 36(5):547-553, 1987.
-
(1987)
IEEE Transactions on Computers
, vol.36
, Issue.5
, pp. 547-553
-
-
Dally, W.J.1
Seitz, C.L.2
-
11
-
-
84955557263
-
RaPiD - reconfigurable pipelined datapath
-
C. Ebeling, D. C. Cronquist, and P. Franklin. RaPiD - reconfigurable pipelined datapath. In Booktitle of the International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers, pages 126-135, 1996.
-
(1996)
Booktitle of the International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers
, pp. 126-135
-
-
Ebeling, C.1
Cronquist, D.C.2
Franklin, P.3
-
12
-
-
24144461667
-
Performance evaluation and design trade-offs for network-on-chip interconnect architectures
-
C. Grecu and M. Jones. Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Transactions on Computers, 54(8): 1025-1040, 2005.
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.8
, pp. 1025-1040
-
-
Grecu, C.1
Jones, M.2
-
13
-
-
33845651403
-
System-level buffer allocation for application-specific networks-on-chip router design
-
December
-
J. Hu, U. Y. Ogras, and R. Marculescu. System-level buffer allocation for application-specific networks-on-chip router design. IEEE Trans, on Computer-Aided Design of Integrated Circuits and Systems, 25(12):2919-2933, December 2006.
-
(2006)
IEEE Trans, on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.12
, pp. 2919-2933
-
-
Hu, J.1
Ogras, U.Y.2
Marculescu, R.3
-
15
-
-
0008463467
-
-
International technology roadmap for semiconductors. Semiconductor Industry Association, http://public.itrs.net/, 2006.
-
(2006)
Semiconductor Industry Association
-
-
-
16
-
-
3042559894
-
-
A. Jalabert, S. Murali, L. Benini, and G. D. Micheli. xpipesCompiler: A tool for instantiating application specific networks on chip. In Proc. of the Conference on Design, Automation and Test in Europe, page 20884, 2004.
-
A. Jalabert, S. Murali, L. Benini, and G. D. Micheli. xpipesCompiler: A tool for instantiating application specific networks on chip. In Proc. of the Conference on Design, Automation and Test in Europe, page 20884, 2004.
-
-
-
-
18
-
-
34249821314
-
Leveraging optical technology in future bus-based chip multiprocessors
-
N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. Leveraging optical technology in future bus-based chip multiprocessors. In Proc. of the International Symposium on Microarchitecture, pages 492-503, 2006.
-
(2006)
Proc. of the International Symposium on Microarchitecture
, pp. 492-503
-
-
Kirman, N.1
Kirman, M.2
Dokania, R.K.3
Martinez, J.F.4
Apsel, A.B.5
Watkins, M.A.6
Albonesi, D.H.7
-
19
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. Express virtual channels: towards the ideal interconnection fabric. In Proc. of the International Symposium on Computer Architecture, pages 150-161, 2007.
-
(2007)
Proc. of the International Symposium on Computer Architecture
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
20
-
-
0026980902
-
The network architecture of the connection machine CM-5 (extended abstract)
-
C. E. Leiserson, Z. S. Abuhamdeh, D. C. Douglas, C. R. Feynman, M. N. Ganmukhi, J. V. Hill, D. Hillis, B. C. Kuszmaul, M. A. S. Pierre, D. S. Wells, M. C. Wong, S.-W. Yang, and R. Zak. The network architecture of the connection machine CM-5 (extended abstract). In Proc. of the Symposium on Parallel Algorithms and Architectures, pages 272-285, 1992.
-
(1992)
Proc. of the Symposium on Parallel Algorithms and Architectures
, pp. 272-285
-
-
Leiserson, C.E.1
Abuhamdeh, Z.S.2
Douglas, D.C.3
Feynman, C.R.4
Ganmukhi, M.N.5
Hill, J.V.6
Hillis, D.7
Kuszmaul, B.C.8
Pierre, M.A.S.9
Wells, D.S.10
Wong, M.C.11
Yang, S.-W.12
Zak, R.13
-
22
-
-
46149088969
-
Designing application-specific networks on chips with floorplan information
-
S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G. D. Micheli, and L. Raffo. Designing application-specific networks on chips with floorplan information. In Proc. of the International Conference on Computer-Aided Design, pages 355-362, 2006.
-
(2006)
Proc. of the International Conference on Computer-Aided Design
, pp. 355-362
-
-
Murali, S.1
Meloni, P.2
Angiolini, F.3
Atienza, D.4
Carta, S.5
Benini, L.6
Micheli, G.D.7
Raffo, L.8
-
23
-
-
46149088969
-
Designing application-specific networks on chips with floorplan information
-
S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G. D. Micheli, and L. Raffo. Designing application-specific networks on chips with floorplan information. In Proc. of the International Conference on Computer-Aided Design, pages 355-362, 2006.
-
(2006)
Proc. of the International Conference on Computer-Aided Design
, pp. 355-362
-
-
Murali, S.1
Meloni, P.2
Angiolini, F.3
Atienza, D.4
Carta, S.5
Benini, L.6
Micheli, G.D.7
Raffo, L.8
-
24
-
-
0033713160
-
MorphoSys: Case study of a reconfigurable computing system, targeting multimedia applications
-
H. Singh, G. Lu, E. Filho, R. Maestre, M.-H. Lee, F. Kurdahi, and N. Bagherzadeh. MorphoSys: case study of a reconfigurable computing system, targeting multimedia applications. In Proc. of the Conference on Design Automation, pages 573-578, 2000.
-
(2000)
Proc. of the Conference on Design Automation
, pp. 573-578
-
-
Singh, H.1
Lu, G.2
Filho, E.3
Maestre, R.4
Lee, M.-H.5
Kurdahi, F.6
Bagherzadeh, N.7
-
26
-
-
33845388971
-
A scalable distributed parallel breadth-first search algorithm on bluegene/1
-
A. Yoo, E. Chow, K. Henderson, W. McLendon, B. Hendrickson, and U. Catalyurek. A scalable distributed parallel breadth-first search algorithm on bluegene/1. In Proc. of the Conference on Supercomputing, page 25, 2005.
-
(2005)
Proc. of the Conference on Supercomputing
, pp. 25
-
-
Yoo, A.1
Chow, E.2
Henderson, K.3
McLendon, W.4
Hendrickson, B.5
Catalyurek, U.6
|