-
2
-
-
13144266757
-
A process-tolerant cache architecture for improved yield in nanoscale technologies
-
January
-
A. Agarwal, B. C. Paul, H. Mahmoodi, A. Datta, and K. Roy. A process-tolerant cache architecture for improved yield in nanoscale technologies. IEEE Transactions on Very Large Scale Integration Systems, 13(1), January 2005.
-
(2005)
IEEE Transactions on Very Large Scale Integration Systems
, vol.13
, Issue.1
-
-
Agarwal, A.1
Paul, B.C.2
Mahmoodi, H.3
Datta, A.4
Roy, K.5
-
4
-
-
0041633858
-
Parameter variation and impact on circuits and microarchitecture
-
June
-
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De. Parameter variation and impact on circuits and microarchitecture. In 40th Design Automation Conference, June 2003.
-
(2003)
40th Design Automation Conference
-
-
Borkar, S.1
Karnik, T.2
Narendra, S.3
Tschanz, J.4
Keshavarzi, A.5
De, V.6
-
5
-
-
0036474722
-
Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration
-
February
-
K. Bowman, S. Duvall, and J. Meindl. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. Journal of Solid-State Circuits, 37(2), February 2002.
-
(2002)
Journal of Solid-State Circuits
, vol.37
, Issue.2
-
-
Bowman, K.1
Duvall, S.2
Meindl, J.3
-
6
-
-
0010232351
-
The declining effectiveness of dynamic caching for general-purpose microprocessors
-
Technical Report TR-1216, U.W.-Madison, Computer Science
-
D. Burger, J. Goodman, and A. Kagi. The declining effectiveness of dynamic caching for general-purpose microprocessors. Technical Report TR-1216, U.W.-Madison, Computer Science, 1995.
-
(1995)
-
-
Burger, D.1
Goodman, J.2
Kagi, A.3
-
8
-
-
0012612903
-
Sim-Alpha: A validated, execution-driven Alpha 21264 simulator
-
University of Texas
-
R. Desikan, D. Burger, S. Keckler, and T. Austin. Sim-Alpha: a validated, execution-driven Alpha 21264 simulator. In TR-01-23, CS Department, University of Texas, 2001.
-
(2001)
TR-01-23, CS Department
-
-
Desikan, R.1
Burger, D.2
Keckler, S.3
Austin, T.4
-
11
-
-
34548353791
-
Impact of parameter variations on multicore architectures
-
E. Humenay, D. Tarjan, W. Huang, and K. Skadron. Impact of parameter variations on multicore architectures. In Workshop on Architectural Support for Gigascale Integration (ASGI-06, held in conjuction with ISCA-33), 2006.
-
(2006)
Workshop on Architectural Support for Gigascale Integration (ASGI-06, held in conjuction with ISCA-33)
-
-
Humenay, E.1
Tarjan, D.2
Huang, W.3
Skadron, K.4
-
12
-
-
47349094363
-
Implementing branch predictor decay using quasi-static memory cells
-
June
-
P. Juang, K. Skadron, M. Martonosi, Z. Hu, D. W. Clark, P. W. Diodato, and S. Kaxiras. Implementing branch predictor decay using quasi-static memory cells. IEEE Transactions on Architecture and Code Optimization, June 2004.
-
(2004)
IEEE Transactions on Architecture and Code Optimization
-
-
Juang, P.1
Skadron, K.2
Martonosi, M.3
Hu, Z.4
Clark, D.W.5
Diodato, P.W.6
Kaxiras, S.7
-
14
-
-
33846259499
-
-
and bitline pulsing schemes for improving SRAM cell stability in low-Vcc 65nm CMOS designs, June
-
M. Khellah, Y. Ye, N. S. Kim, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, and V. De. Wordline and bitline pulsing schemes for improving SRAM cell stability in low-Vcc 65nm CMOS designs. In 2006 Symposium on VLSI Technology and Circuits, June 2006.
-
(2006)
2006 Symposium on VLSI Technology and Circuits
-
-
Khellah, M.1
Ye, Y.2
Kim, N.S.3
Somasekhar, D.4
Pandya, G.5
Farhang, A.6
Zhang, K.7
Webb, C.8
Wordline, V.D.9
-
15
-
-
33751428197
-
Total power-optimal pipelining and parallel processing under process variations in nanometer technology
-
November
-
N. S. Kim, T. Kgil, K. Bowman, V. De, and T. Mudge. Total power-optimal pipelining and parallel processing under process variations in nanometer technology. In International Conference on Computer-Aided Design, November 2005.
-
(2005)
International Conference on Computer-Aided Design
-
-
Kim, N.S.1
Kgil, T.2
Bowman, K.3
De, V.4
Mudge, T.5
-
16
-
-
0026139601
-
Using cache mechanisms to exploit nonrefreshing DRAMs for on-chip memories
-
April
-
D. Lee and R. Katz. Using cache mechanisms to exploit nonrefreshing DRAMs for on-chip memories. Journal of Solid-State Circuits, 26(4):657-661, April 1991.
-
(1991)
Journal of Solid-State Circuits
, vol.26
, Issue.4
, pp. 657-661
-
-
Lee, D.1
Katz, R.2
-
17
-
-
40349098498
-
Mitigating the impact of process variations on processor register files and execution units
-
December
-
X. Liang and D. Brooks. Mitigating the impact of process variations on processor register files and execution units. In 39th IEEE International Symposium on Microarchitecture, December 2006.
-
(2006)
39th IEEE International Symposium on Microarchitecture
-
-
Liang, X.1
Brooks, D.2
-
18
-
-
39749179073
-
A 3-transistor DRAM cell with gated diode for enhanced speed and retention time
-
June
-
W. K. Luk, J. Cai, R. H. Dennard, M. J. Immediato, and S. V. Kosonocky. A 3-transistor DRAM cell with gated diode for enhanced speed and retention time. In 2006 Symposium on VLSI Technology and Circuits, June 2006.
-
(2006)
2006 Symposium on VLSI Technology and Circuits
-
-
Luk, W.K.1
Cai, J.2
Dennard, R.H.3
Immediato, M.J.4
Kosonocky, S.V.5
-
19
-
-
18744392027
-
A novel dynamic memory cell with internal voltage gain
-
April
-
W. K. Luk and R. H. Dennard. A novel dynamic memory cell with internal voltage gain. Journal of Solid-State Circuits, 40(4), April 2005.
-
(2005)
Journal of Solid-State Circuits
, vol.40
, Issue.4
-
-
Luk, W.K.1
Dennard, R.H.2
-
20
-
-
27944472215
-
Variability and energy awareness: A microarchitecture-level perspective
-
June
-
D. Marculescu and E. Talpes. Variability and energy awareness: A microarchitecture-level perspective. In DAC-42, June 2005.
-
(2005)
DAC-42
-
-
Marculescu, D.1
Talpes, E.2
-
22
-
-
40349109002
-
Yield-aware cache architectures
-
December
-
S. Ozdemir, D. Sinha, G. Memik, J. Adams, and H. Zhou. Yield-aware cache architectures. In 39th IEEE International Symposium on Microarchitecture, December 2006.
-
(2006)
39th IEEE International Symposium on Microarchitecture
-
-
Ozdemir, S.1
Sinha, D.2
Memik, G.3
Adams, J.4
Zhou, H.5
-
23
-
-
0031096193
-
A case for intelligent RAM
-
D. Patterson, T. Anderson, N. Cardwell, R. Fromm, K. Keeton, C. Kozyrakis, R. Thomas, and K. Yelick. A case for intelligent RAM. IEEE Micro, 17(2):34-44, 1997.
-
(1997)
IEEE Micro
, vol.17
, Issue.2
, pp. 34-44
-
-
Patterson, D.1
Anderson, T.2
Cardwell, N.3
Fromm, R.4
Keeton, K.5
Kozyrakis, C.6
Thomas, R.7
Yelick, K.8
-
25
-
-
39749201604
-
An SRAM design in 65nm and 45nm technology nodes featuring read and write-assist circuits to expand operating voltage
-
June
-
H. Pilo, J. Barwin, G. Braceras, C. Browning, S. Burns, J. Gabric, S. Lamphier, M. Miller, A. Roberts, and F. Towler. An SRAM design in 65nm and 45nm technology nodes featuring read and write-assist circuits to expand operating voltage. In 2006 Symposium on VLSI Technology and Circuits, June 2006.
-
(2006)
2006 Symposium on VLSI Technology and Circuits
-
-
Pilo, H.1
Barwin, J.2
Braceras, G.3
Browning, C.4
Burns, S.5
Gabric, J.6
Lamphier, S.7
Miller, M.8
Roberts, A.9
Towler, F.10
-
28
-
-
33749181377
-
A 10Mbit, 15GBytes/sec bandwidth 1T DRAM chip with planar MOS storage capacitor in an unmodified 150nm logic process for high density on-chip memory applications
-
September
-
D. Somasekhar, S.-L. Lu, B. Bloechel, K. Lai, S. Borkar, and V. De. A 10Mbit, 15GBytes/sec bandwidth 1T DRAM chip with planar MOS storage capacitor in an unmodified 150nm logic process for high density on-chip memory applications. In 31st European Solid-State Circuits Conference, September 2005.
-
(2005)
31st European Solid-State Circuits Conference
-
-
Somasekhar, D.1
Lu, S.-L.2
Bloechel, B.3
Lai, K.4
Borkar, S.5
De, V.6
|