-
1
-
-
85055067420
-
-
For Further Reading General Basic Physics
-
ITRS Roadmap (2014), www. ITRS.net For Further Reading General Basic Physics
-
(2014)
-
-
-
6
-
-
84954157142
-
FinFETs: from devices to architectures
-
Article ID 365689
-
D. Bhattacharya, N.K. Jha, FinFETs: from devices to architectures. Adv. Electron. 2014, Article ID 365689, 21 p (2014). http://www.hindawi.com/archive/2014/365689/
-
(2014)
Adv. Electron. 2014
, pp. 21
-
-
Bhattacharya, D.1
Jha, N.K.2
-
8
-
-
0020933978
-
An analytical model for the gate capacity of small-geometryMOS structures
-
E.W. Greenwich, An analytical model for the gate capacity of small-geometryMOS structures. IEEE Trans. Electron Dev. 30, 1838-1839 (1983)
-
(1983)
IEEE Trans. Electron Dev
, vol.30
, pp. 1838-1839
-
-
Greenwich, E.W.1
-
9
-
-
84939028187
-
Measurement of intrinsic capacitances of MOS transistors
-
ISSCC Digest of Technical Papers
-
J.J. Paulos, D.A. Antoniadis, Y.P. Tsividis, Measurement of intrinsic capacitances of MOS transistors. ISSCC Digest of Technical Papers, pp. 238-239 (1982)
-
(1982)
, pp. 238-239
-
-
Paulos, J.J.1
Antoniadis, D.A.2
Tsividis, Y.P.3
-
11
-
-
0036579355
-
Analysis of CMOS ADC non-linear input capacitance
-
E85-C
-
H. Kogure et al., Analysis of CMOS ADC non-linear input capacitance. IEICE Trans. Electron. E85-C(5), 1182-1190, (2002)
-
(2002)
IEICE Trans. Electron
, Issue.5
, pp. 1182-1190
-
-
Kogure, H.1
-
12
-
-
85055070708
-
-
MOS Capacitor, Chapter 5, Friday, February 13
-
Chenming-Hu, MOS Capacitor, Chapter 5, Friday, February 13, 2009, p. 194
-
(2009)
, pp. 194
-
-
-
13
-
-
85055067122
-
A mobility model for MOSFET device simulations
-
A.J. Walker, P.H. Woerlee, A mobility model for MOSFET device simulations. J. Phys. colloque C4 49(9), 256 1988
-
(1988)
J. Phys. colloque C4
, vol.49
, Issue.9
, pp. 256
-
-
Walker, A.J.1
Woerlee, P.H.2
-
14
-
-
84955361471
-
The Analog Challenge in Nanometer CMOS
-
IEDM Digest of Technical Papers
-
M. Vertregt, The Analog Challenge in Nanometer CMOS. IEDM Digest of Technical Papers, pp. 11-18 (2006)
-
(2006)
, pp. 11-18
-
-
Vertregt, M.1
-
15
-
-
2342646021
-
-
Addison-Wesley, Boston
-
N. Weste, D.M. Harris, CMOS VLSI Design, A Systems Perspective, 4th edn. (Addison-Wesley, Boston, 2011)
-
(2011)
CMOS VLSI Design, A Systems Perspective, 4th edn
-
-
Weste, N.1
Harris, D.M.2
-
17
-
-
0035394088
-
Mutual compensation of mobility and threshold voltage temperature effects with applications in CMOS circuits
-
I.M. Filanovsky, A. Allam, Mutual compensation of mobility and threshold voltage temperature effects with applications in CMOS circuits. IEEE Trans. Circuits Syst. Fundam. Theory Appl. 48(7), 876-884 (2001)
-
(2001)
IEEE Trans. Circuits Syst. Fundam. Theory Appl
, vol.48
, Issue.7
, pp. 876-884
-
-
Filanovsky, I.M.1
Allam, A.2
-
19
-
-
33746080003
-
Handling inverted temperature dependance in static timing analysis
-
A. Dasnan et al., Handling inverted temperature dependance in static timing analysis. ACM Trans. Design Autom. Electronic Syst. 11(2), 306-324 (2006)
-
(2006)
ACM Trans. Design Autom. Electronic Syst
, vol.11
, Issue.2
, pp. 306-324
-
-
Dasnan, A.1
-
20
-
-
33750596850
-
Reversed temperature-dependent propagation delay characteristics in nanometer CMOS circuits
-
R. Kumar et al., Reversed temperature-dependent propagation delay characteristics in nanometer CMOS circuits. IEEE Trans. Circuits Syst. II: Express Briefs 53(10), 1078-1082 (2006)
-
(2006)
IEEE Trans. Circuits Syst. II: Express Briefs
, vol.53
, Issue.10
, pp. 1078-1082
-
-
Kumar, R.1
-
21
-
-
0035718182
-
Gate current: modelling, ΔL extraction and impact on RF performance
-
R. van Langevelde et al., Gate current: modelling, ΔL extraction and impact on RF performance. IEDM Technical Digest, pp. 289-292 (2001)
-
(2001)
IEDM Technical Digest
, pp. 289-292
-
-
van Langevelde, R.1
-
22
-
-
0036948939
-
Circuit-level techniques to control gate leakage for sub-100nm CMOS
-
F. Hamzaoglu et al., Circuit-level techniques to control gate leakage for sub-100nm CMOS, in Proceedings of the 2002 ISLPED Symposium, pp. 60-63
-
Proceedings of the 2002 ISLPED Symposium
, pp. 60-63
-
-
Hamzaoglu, F.1
-
23
-
-
33947170507
-
PSP: an advanced surface-potential-based MOSFET model for circuit simulation
-
G. Gildenblat et al., PSP: an advanced surface-potential-based MOSFET model for circuit simulation. IEEE Trans. Electron Dev. 53(9), 1979-1993 (2006)
-
(2006)
IEEE Trans. Electron Dev
, vol.53
, Issue.9
, pp. 1979-1993
-
-
Gildenblat, G.1
-
24
-
-
1642411056
-
Gate Oxide leakage current analysis and reduction for VLSI circuits
-
D. Lee et al., Gate Oxide leakage current analysis and reduction for VLSI circuits. IEEE Trans. VLSI Syst. 12(2), 155-166 (2004)
-
(2004)
IEEE Trans. VLSI Syst
, vol.12
, Issue.2
, pp. 155-166
-
-
Lee, D.1
-
25
-
-
85055070709
-
BSIM4 modelling and Parameter Extraction
-
J. Assenmacher, BSIM4 modelling and Parameter Extraction (2003). http://www.ieee.org/r5/denver/sscs/References/2003_03_Assenmacher.pdf
-
(2003)
-
-
Assenmacher, J.1
-
26
-
-
0004141150
-
New Transistors for 2005 and Beyond
-
G. Marcyk et al., New Transistors for 2005 and Beyond, http://www.eas.asu.edu/~vasilesk/EEE531/TeraHertzlong.pdf
-
-
-
Marcyk, G.1
-
27
-
-
33947128567
-
The physical background of JUNCAP2
-
A. Scholten et al., The physical background of JUNCAP2. IEEE Trans. Electron Dev. 53(9), 2098-2107 (2006)
-
(2006)
IEEE Trans. Electron Dev
, vol.53
, Issue.9
, pp. 2098-2107
-
-
Scholten, A.1
-
28
-
-
84943200926
-
An industrial view on compact modeling, in Proceedings of the 36th European Solid-State Device Research Conference, Sept 2006, General Basic Physics
-
R. Woltjer et al., An industrial view on compact modeling, in Proceedings of the 36th European Solid-State Device Research Conference, Sept 2006, pp. 41-48 General Basic Physics
-
-
-
Woltjer, R.1
-
30
-
-
85055066990
-
What Happened to 450mm? Semiconductor Engineering
-
July 17
-
M. LaPedus et al., What Happened to 450mm? Semiconductor Engineering, July 17, 2014
-
(2014)
-
-
LaPedus, M.1
-
31
-
-
85055067121
-
IC Insights, CompaniesMaximize 300mm, 200mmWafers; Slow Progress on 450mm'
-
Design & reuse, Sept. 14
-
IC Insights, CompaniesMaximize 300mm, 200mmWafers; Slow Progress on 450mm', Design & reuse, Sept. 14, 2015, http://www.design-reuse.com/news/38229/global-wafer-capacity- 2015-2019-report.html
-
(2015)
-
-
-
32
-
-
85055066991
-
Chip industry tackles escalating mask costs
-
EE Times, 6/17/2002
-
R. Wilson, Chip industry tackles escalating mask costs. EE Times, 6/17/2002
-
-
-
Wilson, R.1
-
33
-
-
85055067417
-
Growing Ingots of Single Crystal Si
-
Leuven, Belgium, June 22
-
M. Porrini, Growing Ingots of Single Crystal Si, in MEMC SiliconWorkshop at IMEC, Leuven, Belgium, June 22, 2006
-
(2006)
MEMC SiliconWorkshop at IMEC
-
-
Porrini, M.1
-
34
-
-
85055067418
-
Silicon Epitaxi for CMOS and Power Applications
-
Leueven, Belgium, June 22
-
G. Vaccari, Silicon Epitaxi for CMOS and Power Applications, in MEMC Silicon Workshop at IMEC, Leueven, Belgium, June 22, 2006
-
(2006)
MEMC Silicon Workshop at IMEC
-
-
Vaccari, G.1
-
35
-
-
5444219526
-
CMOS circuit performance enhancement by surface orietation optimizatio
-
L. Chang et al., CMOS circuit performance enhancement by surface orietation optimization. pp. 1621-1627, IEEE Trans. Electron Dev. 51(10), 1621-1627 (2004)
-
(2004)
IEEE Trans. Electron Dev
, vol.51
, Issue.10
, pp. 1621-1627
-
-
Chang, L.1
-
36
-
-
33646072123
-
Hybrid-orientation technology (HOT): opportunities and challenge
-
M. Yang et al., Hybrid-orientation technology (HOT): opportunities and challenges. pp. 965-978, IEEE Trans. Electron Dev. 53(5), 965-978 (2006)
-
(2006)
IEEE Trans. Electron Dev
, vol.53
, Issue.5
, pp. 965-978
-
-
Yang, M.1
-
37
-
-
85055068786
-
Ultra thin body SOI FETs
-
May 20
-
S. Reddy Alla, Ultra thin body SOI FETs, http://www.slideshare.net/sindhureddy14/538-34932218, May 20, 2014
-
(2014)
-
-
Reddy Alla, S.1
-
38
-
-
0034429695
-
A 660 MHz 64b SOI processor with Cu interconnects
-
ISSCC, Digest of Technical Papers, Feb
-
T. Buchholtz et al., A 660 MHz 64b SOI processor with Cu interconnects. ISSCC, Digest of Technical Papers, Feb 2000
-
(2000)
-
-
Buchholtz, T.1
-
39
-
-
3042612662
-
SOI technology performance and modelling
-
ISSCC, Digest of Technical Papers
-
J.L. Pelloie et al., SOI technology performance and modelling. ISSCC, Digest of Technical Papers (1999), pp. 428-429
-
(1999)
, pp. 428-429
-
-
Pelloie, J.L.1
-
40
-
-
0034246556
-
Experimental evidence for quantum mechanical narrow channel effect
-
H. Majima et al., Experimental evidence for quantum mechanical narrow channel effect. IEEE Electron Dev. Lett. 21, 396-398 (2000)
-
(2000)
IEEE Electron Dev. Lett
, vol.21
, pp. 396-398
-
-
Majima, H.1
-
41
-
-
15744401155
-
Yield: The Key to Nanometer Profits. Evaluation Engineering, Mar 2005
-
T. Lecklider, Yield: The Key to Nanometer Profits. Evaluation Engineering, Mar 2005 www. evaluationengineering.com/archive/articles/0305/0305yield.asp
-
-
-
Lecklider, T.1
-
42
-
-
0942267534
-
Sublithographic nanofabrication technology for nanocatalysts and DNA chips
-
Y.K. Choi et al., Sublithographic nanofabrication technology for nanocatalysts and DNA chips. J. Vac. Sci. Technol. B21(6), 2951-2955 (2003)
-
(2003)
J. Vac. Sci. Technol
, vol.21 B
, Issue.6
, pp. 2951-2955
-
-
Choi, Y.K.1
-
43
-
-
85055068528
-
Advanced Lithography is All about Materials
-
M. David Levenson, Advanced Lithography is All about Materials (2011). http://www. betasights.net/wordpress/?p=1273
-
(2011)
-
-
David Levenson, M.1
-
44
-
-
85055070576
-
Sign-offlithography simulation and multi-patterning must play well together
-
Aug 12
-
J. Kwan, Sign-offlithography simulation and multi-patterning must play well together, http://www.techdesignforums.com/practice/tag/multi-patterning/Aug 12, 2014
-
(2014)
-
-
Kwan, J.1
-
45
-
-
85055070575
-
Multiple Gate CMOS and Beyond Nanotechnology-forum
-
Forum_6, Seoel, June 5-6
-
Y.-K. Choi, Multiple Gate CMOS and Beyond Nanotechnology-forum, Forum_6, Seoel, June 5-6, 2012
-
(2012)
-
-
Choi, Y.-K.1
-
46
-
-
84964851035
-
Laser Produced Plasma EUV Sources for Device Development and HVM
-
D.C. Brandt et al., Laser Produced Plasma EUV Sources for Device Development and HVM (2012). http://www.cymer.com/files/pdfs/Technology/2012/Laser_Produced_Plasma_ EUV_Sources_for_Device:Development_and_HVM.pdf
-
(2012)
-
-
Brandt, D.C.1
-
47
-
-
85055069697
-
ASML ships world's first EUV tool
-
Aug 28
-
M. LaPedus, ASML ships world's first EUV tool, www.eetimes.com, Aug 28, 2006
-
(2006)
-
-
LaPedus, M.1
-
49
-
-
84941641549
-
Performance of 100-W HVM LPP-EUV source
-
H. Mizoguchi et al., Performance of 100-W HVM LPP-EUV source. Adv. Opt. Technol. 4(4), 297-309 (2015)
-
(2015)
Adv. Opt. Technol
, vol.4
, Issue.4
, pp. 297-309
-
-
Mizoguchi, H.1
-
50
-
-
85055069698
-
Status and outlook of LPP light sources for HVM EUV, in EUVL Workshop 2015
-
June 18th
-
I. Fomenkov, Status and outlook of LPP light sources for HVM EUV, in EUVL Workshop 2015, June 18th, 2015
-
(2015)
-
-
Fomenkov, I.1
-
51
-
-
85055067047
-
Report: Toshiba adopts imprint litho for NAND production
-
EE Times (Analog), June 07
-
P. Clarke, Report: Toshiba adopts imprint litho for NAND production, EE Times (Analog), June 07, 2016
-
(2016)
-
-
Clarke, P.1
-
52
-
-
84878384578
-
MAPPER: progress toward a high-volume manufacturing system
-
SPIE Proceedings, vol. 8680: Alternative Lithographic Technologies V, Mar
-
G. de Boer et al., MAPPER: progress toward a high-volume manufacturing system. SPIE Proceedings, vol. 8680: Alternative Lithographic Technologies V, Mar 2013
-
(2013)
-
-
de Boer, G.1
-
53
-
-
85055067046
-
EUV Resists and Stochastic Processes, Semiconductor Manufacturing & Design Community
-
Mar 4
-
Ed Korczynski, EUV Resists and Stochastic Processes, Semiconductor Manufacturing & Design Community http://semimd.com/blog/tag/euv/Mar 4, 2016
-
(2016)
-
-
-
54
-
-
33748466134
-
Nanoimprint Lithography: A Contender for 32 nm?'
-
Issue Aug 1
-
P. Singer, Nanoimprint Lithography: A Contender for 32 nm?' Semiconductor International, Issue Aug 1, 2006
-
(2006)
Semiconductor International
-
-
Singer, P.1
-
56
-
-
85055069699
-
Microlithography World - the history and potential of maskless Ebeam lithography, Solid State Technology
-
Feb 2005
-
H.C. Pfeiffer et al., Microlithography World - the history and potential of maskless Ebeam lithography, Solid State Technology, Feb 2005, http://sst.pennnet.com/Articles/Article_ Display.cfm?Section=ARTCL&ARTICLE_ID=221612&VERSION_NUM=4&p=28
-
-
-
Pfeiffer, H.C.1
-
57
-
-
0012488004
-
Future prospects for dry etching
-
K. Suzuki, N. Itabashi, Future prospects for dry etching. Pure Appl. Chem. 68(5), 1011-1015 (1996)
-
(1996)
Pure Appl. Chem
, vol.68
, Issue.5
, pp. 1011-1015
-
-
Suzuki, K.1
Itabashi, N.2
-
58
-
-
85055067640
-
Flash below 20 nm: What is coming and when
-
Challenges in 3-D NAND, Flash Memory Summit
-
G. Lee, Flash below 20 nm: What is coming and when. Challenges in 3-D NAND, Flash Memory Summit 2013
-
(2013)
-
-
Lee, G.1
-
59
-
-
85055070577
-
Challenges for intermetal dielectrics, Future Fab International
-
D. Pramanik, Challenges for intermetal dielectrics, Future Fab International (1997)
-
(1997)
-
-
Pramanik, D.1
-
60
-
-
85055070569
-
Process Integration, Devices, and Structures (PIDS), Tables, ITRS Roadmap, edition 2011
-
Process Integration, Devices, and Structures (PIDS), Tables, ITRS Roadmap, edition 2011
-
-
-
-
61
-
-
77957736323
-
High-k gate dielectrics for nanoscale CMOS devices: status, challenges
-
The Electrical Chemical Society
-
D.-G. Park, X. Wang, High-k gate dielectrics for nanoscale CMOS devices: status, challenges. ECS Trans. 28(2), 39-50, The Electrical Chemical Society (2010)
-
(2010)
ECS Trans
, vol.28
, Issue.2
, pp. 39-50
-
-
Park, D.-G.1
Wang, X.2
-
62
-
-
84945908456
-
Atomic layer etching: what can we learn from atomic layer deposition?
-
T. Faraz et al., Atomic layer etching: what can we learn from atomic layer deposition? ECS J. Solid State Sci. Technol. 4(6), N5023-N5032 (2015)
-
(2015)
ECS J. Solid State Sci. Technol
, vol.4
, Issue.6
, pp. N5023-N5032
-
-
Faraz, T.1
-
64
-
-
0036932280
-
NBTI Mechanism in ultra-thin gate dielectric-nitrogen-originated mechanism in SiON-, International Electron Devices Meeting Technical Digest
-
Y. Mitani et al., NBTI Mechanism in ultra-thin gate dielectric-nitrogen-originated mechanism in SiON-, International Electron Devices Meeting Technical Digest, pp. 509-512 (2002)
-
(2002)
, pp. 509-512
-
-
Mitani, Y.1
-
66
-
-
85055069914
-
How combining cobalt and copper could improve chip yields, boost performance
-
May 14
-
J. Hruska, How combining cobalt and copper could improve chip yields, boost performance. ExtremeTech, May 14, 2014, http://www.extremetech.com/extreme/182386-how-combiningcobalt- and-copper-could-improve-chip-yields-boost-performance
-
(2014)
ExtremeTech
-
-
Hruska, J.1
-
67
-
-
85055067641
-
Selective cobalt deposition on copper surfaces
-
US Patent 20090269507 A1, Oct 29
-
S.-H. Yu et al., Selective cobalt deposition on copper surfaces, US Patent 20090269507 A1, Oct 29, 2008
-
(2008)
-
-
Yu, S.-H.1
-
68
-
-
0242583886
-
Atomic layer deposition of transition metals
-
Nov 2003
-
B.S. Lim et al., Atomic layer deposition of transition metals. Nature Materials, vol. 2, Nov 2003, www.nature.com/naturematerials
-
Nature Materials
, vol.2
-
-
Lim, B.S.1
-
69
-
-
1442270344
-
Ion Implantation in Silicon Technology
-
June/July
-
L. Rubin, J. Poate, Ion Implantation in Silicon Technology. The Industrial Physicist, June/July 2003, pp. 12-15
-
(2003)
The Industrial Physicist
, pp. 12-15
-
-
Rubin, L.1
Poate, J.2
-
70
-
-
84907891995
-
Implications of pocket optimisation on analog performance in deep submicron CMOS
-
ESSDERC, Digest of Technical Papers
-
R.F.M. Roes et al., Implications of pocket optimisation on analog performance in deep submicron CMOS. ESSDERC, Digest of Technical Papers, pp. 176-179 (1999)
-
(1999)
, pp. 176-179
-
-
Roes, R.F.M.1
-
71
-
-
35348909664
-
The High-k Solution
-
Oct
-
M. Bohr et al., The High-k Solution. IEEE Spectrum, Oct 2007, pp. 23-29
-
(2007)
IEEE Spectrum
, pp. 23-29
-
-
Bohr, M.1
-
72
-
-
79251617242
-
Source/drain technologies for the scaling of nanoscale CMOS device
-
Y. Song, Source/drain technologies for the scaling of nanoscale CMOS device. Solid-State Sci. 13, 294-305 (2013)
-
(2013)
Solid-State Sci
, vol.13
, pp. 294-305
-
-
Song, Y.1
-
73
-
-
77957581457
-
High-k/metal gate stacks in gate first and replacement gate schemes, Advanced Semiconductor Manufacturing Conference (ASMC)
-
IEEE/SEMI
-
S. Kesapragada et al., High-k/metal gate stacks in gate first and replacement gate schemes, Advanced Semiconductor Manufacturing Conference (ASMC) (IEEE/SEMI, 2010), pp. 256-259
-
(2010)
, pp. 256-259
-
-
Kesapragada, S.1
-
74
-
-
85055067048
-
-
Gate-last and gate-first high-k metal, IMEC Scientific Report 2010, http://www.imec.be/ScientificReport/SR2010/2010/1159059.html
-
(2010)
-
-
-
75
-
-
85055067837
-
Gate First vs. Last. Electronic Engineering Journal
-
B. Moyer, Gate First vs. Last. Electronic Engineering Journal, Posted on Nov 14, 2011, http://www.eejournal.com/archives/articles/20111114-gate/
-
(2011)
Posted on Nov 14
-
-
Moyer, B.1
-
76
-
-
85055068527
-
A 90nm logic technology featuring 50nm strained silicon channel transistors, 7 layers of Cu interconnects, low-k ILD, and 1 mm SRAM cell'
-
S. Thompson et al., A 90nm logic technology featuring 50nm strained silicon channel transistors, 7 layers of Cu interconnects, low-k ILD, and 1 mm SRAM cell', in IEEE International Electron Devices Meeting (2002)
-
(2002)
IEEE International Electron Devices Meeting
-
-
Thompson, S.1
-
77
-
-
30544450866
-
N+/P and P+/N Junctions in Strained Si on Strain Relaxed SiGe Buffers: the Effect of Defect Density and Layer Structure
-
© 2005 Materials Research Society, pp. E3.7.1-E3.7.6
-
G. Eneman et al., N+/P and P+/N Junctions in Strained Si on Strain Relaxed SiGe Buffers: the Effect of Defect Density and Layer Structure. Mater. Res. Soc. Symp. Proc., vol. 864. © 2005 Materials Research Society, pp. E3.7.1-E3.7.6
-
Mater. Res. Soc. Symp. Proc.
, vol.864
-
-
Eneman, G.1
-
79
-
-
4544326818
-
High Performance CMOS Fabricated on Hybrid Substrate With Different Crystal Orientations Electron Devices Meeting
-
M. Yang et al., High Performance CMOS Fabricated on Hybrid Substrate With Different Crystal Orientations Electron Devices Meeting. IEDM '03 Technical Digest (2003)
-
(2003)
IEDM '03 Technical Digest
-
-
Yang, M.1
-
80
-
-
84901915860
-
Challenges inManufacturing FinFET at 20 nmnode and beyond
-
M.-H. Chi, Challenges inManufacturing FinFET at 20 nmnode and beyond (2012). http://www. rit.edu/kgcoe/eme/sites/default/files/Min-hwa%20Chi%20-%20abstract_%20Challenges %20in%20Manufacturing%20FinFET.pdf
-
(2012)
-
-
Chi, M.-H.1
-
82
-
-
84874657733
-
Meeting the challenge of multiple threshold voltages in highly scaled undoped FinFETs
-
D.R. Muralidher et al., Meeting the challenge of multiple threshold voltages in highly scaled undoped FinFETs. IEEE Trans. Electron Dev. 60(3), 1276-1278 (2013)
-
(2013)
IEEE Trans. Electron Dev
, vol.60
, Issue.3
, pp. 1276-1278
-
-
Muralidher, D.R.1
-
83
-
-
84863045826
-
Statistical variability and reliability in nanoscale FinFETs
-
Washington, DC, Dec
-
X. Wang et al., Statistical variability and reliability in nanoscale FinFETs, in Proceedings of the IEEE International Electron Devices Meeting (IEDM '11), Washington, DC, Dec 2011, pp. 541-544
-
(2011)
Proceedings of the IEEE International Electron Devices Meeting (IEDM '11)
, pp. 541-544
-
-
Wang, X.1
-
84
-
-
83455195319
-
3D vs. 2D analysis of FinFET logic gates under process variations
-
Amherst, MA, Nov
-
S. Chaudhuri, N.K. Jha, 3D vs. 2D analysis of FinFET logic gates under process variations, in Proceedings of the 29th IEEE International Conference on Computer Design (ICCD '11), Amherst, MA, Nov 2011, pp. 435-436
-
(2011)
Proceedings of the 29th IEEE International Conference on Computer Design (ICCD '11)
, pp. 435-436
-
-
Chaudhuri, S.1
Jha, N.K.2
-
85
-
-
85015024301
-
Intel's FinFETs are less fin and more triangle
-
May 17
-
P. Clarke, Intel's FinFETs are less fin and more triangle, May 17, 2012, http://www.embedded. com/electronics-news/4373195/Intel-FinFETs-shape-revealed
-
(2012)
-
-
Clarke, P.1
-
86
-
-
85018696655
-
Bulk FinFETs: design at 14 nm node and key characteristics, in Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting
-
ed. by C.M. Kyung, Springer, Dordrecht
-
J.-H. Lee, Bulk FinFETs: design at 14 nm node and key characteristics, in Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting, ed. by C.M. Kyung (Springer, Dordrecht, 2016), pp. 33-64. ISBN:978-94-017-9989-8
-
(2016)
, pp. 33-64
-
-
Lee, J.-H.1
-
87
-
-
85055067055
-
-
LexInnova Technologies LLC, 'FinFET' Extending Moore's law', Report (2015), http://www.wipo.int/export/sites/www/patentscope/en/programs/patent_landscapes/documents/lexinnova_plr_finfet.pdf
-
(2015)
-
-
-
88
-
-
84969713007
-
Self-aligned via interconnect using relaxed patterning exposure
-
US 2014/0015135 A1, Jan. 16
-
Rieger et al., Self-aligned via interconnect using relaxed patterning exposure. US 2014/0015135 A1, Jan. 16, 2014
-
(2014)
-
-
Rieger1
-
89
-
-
85055067056
-
Comparison study of FinFETs: SOI vs. bulk, performance, manufacturing variability and cost' SOI industry consortium
-
D. Fried et al., Comparison study of FinFETs: SOI vs. bulk, performance, manufacturing variability and cost' SOI industry consortium (2011). http://www.soiconsortium.org/pdf/Comparison%20study%20of%20FinFETs%20-%20SOI%20versus%20Bulk.pdf
-
(2011)
-
-
Fried, D.1
-
90
-
-
85055067642
-
FDSOI for Low Power System on chip
-
M. Haond, FDSOI for Low Power System on chip (2011). http://semieurope.omnibooksonline. com/2011/semicon_europa/SEMI_TechARENA_presentations/NewMaterial_05_Michel. Haond_STMicroelectronics.pdf
-
(2011)
-
-
Haond, M.1
-
91
-
-
70350052610
-
Undoped-body extremely thin SOI MOSFETs with back gates
-
A. Majumdar, Undoped-body extremely thin SOI MOSFETs with back gates. IEEE Trans. Electron Dev. 56(10), 2270-2276 (2009)
-
(2009)
IEEE Trans. Electron Dev
, vol.56
, Issue.10
, pp. 2270-2276
-
-
Majumdar, A.1
-
93
-
-
84874843194
-
Cu/Airgap integration on 90nm Cu BEOL process platform, in 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology (ICSICT)
-
X. Kang et al., Cu/Airgap integration on 90nm Cu BEOL process platform, in 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology (ICSICT)
-
-
-
Kang, X.1
-
94
-
-
85055070582
-
IEDM 2014 Monday was FinFET Day
-
Dec 18
-
D. James, IEDM 2014 Monday was FinFET Day, Dec 18, 2014, https://www.chipworks.com/about-chipworks/overview/blog/iedm-%E2%80%93-monday-was-finfet-day
-
(2014)
-
-
James, D.1
-
95
-
-
0037104274
-
Size-dependent resistivity of metallic wires in mesoscopic range
-
W. Steinhogl et al., Size-dependent resistivity of metallic wires in mesoscopic range. Phys. Rev. B 66, 075414 (2002)
-
(2002)
Phys. Rev. B
, vol.66
, pp. 075414
-
-
Steinhogl, W.1
-
96
-
-
0036539099
-
Technology and reliability constrained future copper interconnects - part I: resistance modelling
-
P. Kapur et al., Technology and reliability constrained future copper interconnects - part I: resistance modelling. IEEE Trans. Electron Dev. 49(4), 590-597 (2002)
-
(2002)
IEEE Trans. Electron Dev
, vol.49
, Issue.4
, pp. 590-597
-
-
Kapur, P.1
-
99
-
-
85055067638
-
-
Feb 2000, 176-177, 412-413, 422-423
-
International Solid-State Circuits Conference Digest of Technical papers, Feb 2000, pp. 90-11, 176-177, 412-413, 422-423
-
-
-
-
100
-
-
85055067639
-
IEEE Distinguished Lecture. Microprocessor Design in the Nanoscale Era
-
July 29
-
S. Rusu, IEEE Distinguished Lecture. Microprocessor Design in the Nanoscale Era. IEEE Penang Joint Chapter, July 29, 2013
-
(2013)
IEEE Penang Joint Chapter
-
-
Rusu, S.1
-
101
-
-
84924371245
-
7nm FinFET standard cell layout characterization and power density prediction in near- and super-threshold voltage regimes
-
3-5 Nov
-
T. Cui et al., 7nm FinFET standard cell layout characterization and power density prediction in near- and super-threshold voltage regimes, in 2014 International Green Computing Conference (IGCC), 3-5 Nov 2014
-
(2014)
2014 International Green Computing Conference (IGCC)
-
-
Cui, T.1
-
103
-
-
84920516997
-
Exploiting sub-20-nm complementary metal-oxide semiconductor technology challenges to design affordable systems-on-chip
-
Power Dissipation in CMOS
-
K. Vaidyanathan et al., Exploiting sub-20-nm complementary metal-oxide semiconductor technology challenges to design affordable systems-on-chip. J. Micro/Nanolith. MEMS MOEMS. 14(1), 011007 (2014) Power Dissipation in CMOS
-
(2014)
J. Micro/Nanolith. MEMS MOEMS.
, vol.14
, Issue.1
, pp. 011007
-
-
Vaidyanathan, K.1
-
104
-
-
0021477994
-
Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits
-
H.J.M. Veendrick, Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits. IEEE J. Solid State Circuits SC-19(4), 468-473 (1984)
-
(1984)
IEEE J. Solid State Circuits SC-19
, Issue.4
, pp. 468-473
-
-
Veendrick, H.J.M.1
-
105
-
-
85055067828
-
-
IEEE Journal of Solid-State Circuits
-
-
-
-
106
-
-
85055067832
-
ISSCC and ESSCIRC Conferences, VLSI and ISLPED Symposia, Digests of Technical Papers
-
ISSCC and ESSCIRC Conferences, VLSI and ISLPED Symposia, Digests of Technical Papers
-
-
-
-
107
-
-
0003585771
-
-
Addison-Wesley, Reading, MA
-
R.C. Jaeger, Introduction to Microelectronic Fabrication. Modular Series on Solid-State Devices, vol. 5, (Addison-Wesley, Reading, MA, 1988)
-
(1988)
Introduction to Microelectronic Fabrication. Modular Series on Solid-State Devices, vol. 5
-
-
Jaeger, R.C.1
-
108
-
-
0019689598
-
Advanced Hi-Cmos device technology
-
IEEE IEDM, Washington DC
-
Y. Sakai et al., Advanced Hi-Cmos device technology. IEEE IEDM, Washington DC, pp. 534-537 (1981)
-
(1981)
, pp. 534-537
-
-
Sakai, Y.1
-
110
-
-
0003699181
-
-
Lattice Press, Sunset Beach, CA
-
S. Wolf, R.N. Tauber, Silicon Processing for the VLSI Era, vol. 1, Process Technology (Lattice Press, Sunset Beach, CA, 1986)
-
(1986)
Silicon Processing for the VLSI Era, vol. 1, Process Technology
-
-
Wolf, S.1
Tauber, R.N.2
-
111
-
-
0003400983
-
-
Addison- Wesley, Reading, MA
-
N. Weste, K. Eshraghian, Principles of CMOS VLSI Design, A Systems Perspective (Addison- Wesley, Reading, MA, 1993)
-
(1993)
Principles of CMOS VLSI Design, A Systems Perspective
-
-
Weste, N.1
Eshraghian, K.2
-
116
-
-
85055069702
-
Image Sensor Session at the ISSCC Conferences: ISSCC Digest of Technical Papers, 2000-2016
-
Image Sensor Session at the ISSCC Conferences: ISSCC Digest of Technical Papers, 2000-2016
-
-
-
-
117
-
-
0031249402
-
CMOS image sensors: electronic camera-on-a-chip
-
E.R. Fossum, CMOS image sensors: electronic camera-on-a-chip. IEEE Trans. Electron Dev. 44, 1689-1698 (1997)
-
(1997)
IEEE Trans. Electron Dev
, vol.44
, pp. 1689-1698
-
-
Fossum, E.R.1
-
118
-
-
34548838287
-
A 1/2.5 inch 8.1 Mpixel CMOS Image Sensor for Digital Cameras
-
ISSCC Digest of Technical Papers
-
K.-B. Cho et al., A 1/2.5 inch 8.1 Mpixel CMOS Image Sensor for Digital Cameras. ISSCC Digest of Technical Papers, pp. 508-509 (2007)
-
(2007)
, pp. 508-509
-
-
Cho, K.-B.1
-
120
-
-
85055067054
-
Comparison of different device concepts to increase the operating voltage of a trench isolated SOI technology to above 900V
-
R. Lerner et al., Comparison of different device concepts to increase the operating voltage of a trench isolated SOI technology to above 900V. Facta Univ. Ser. Electron. Energetics 28(4), 645-656 (2015)
-
(2015)
Facta Univ. Ser. Electron. Energetics
, vol.28
, Issue.4
, pp. 645-656
-
-
Lerner, R.1
-
121
-
-
39049173039
-
SOI-based devices and technologies for high voltage ICs
-
BCTM
-
F. Udrea et al., SOI-based devices and technologies for high voltage ICs. BCTM, pp. 74-79 (2007)
-
(2007)
, pp. 74-79
-
-
Udrea, F.1
-
122
-
-
85055068529
-
High-Voltage CMOS Technologies for Robust System-on-Chip Design
-
HVCMOS_ FSA Forum, June
-
H. Gensinger, High-Voltage CMOS Technologies for Robust System-on-Chip Design. HVCMOS_ FSA Forum, June 2006
-
(2006)
-
-
Gensinger, H.1
-
125
-
-
0024921079
-
An integrated 150Vpp, 12kV/s class AB CRT-driving amplifier
-
P. Blanken, J. Verdaasdonk, An integrated 150Vpp, 12kV/s class AB CRT-driving amplifier. ISSCC, Digest of Technical Papers, New York (1989)
-
(1989)
ISSCC, Digest of Technical Papers, New York
-
-
Blanken, P.1
Verdaasdonk, J.2
-
127
-
-
1042277546
-
Vertical Profile Optimisation of a Self-Aligned SiGeC HBT Process with an n-Cap Emitter
-
IEEE/BCTM
-
J. Donkers et al., Vertical Profile Optimisation of a Self-Aligned SiGeC HBT Process with an n-Cap Emitter. IEEE/BCTM (2003)
-
(2003)
-
-
Donkers, J.1
-
129
-
-
85055067053
-
A Novel Isolation Scheme featuring Cavities in the Collector for a High-Speed 0.13 m SiGe:C BiCMOS Technology, SiRF
-
L.J. Choi et al., A Novel Isolation Scheme featuring Cavities in the Collector for a High-Speed 0.13 m SiGe:C BiCMOS Technology, SiRF, 2007
-
(2007)
-
-
Choi, L.J.1
-
130
-
-
21644474327
-
SiGe HBT technology with fmax=fT D 350=300 GHz and gate delay below 3.3 ps
-
IEDM, Digest of Technical Papers
-
M. Khater et al., SiGe HBT technology with fmax=fT D 350=300 GHz and gate delay below 3.3 ps. IEDM, Digest of Technical Papers, pp. 247-250 (2004)
-
(2004)
, pp. 247-250
-
-
Khater, M.1
-
131
-
-
27944504314
-
QUBiC4plus: a cost-effective BiCMOS manufacturing technology with elite passive enhancements optimized for 'silicon-based' RF-system-in-package environment
-
Bipolar/BiCMOS Circuits and Technology Meeting
-
P. Deixler et al., QUBiC4plus: a cost-effective BiCMOS manufacturing technology with elite passive enhancements optimized for 'silicon-based' RF-system-in-package environment. Bipolar/BiCMOS Circuits and Technology Meeting, pp. 272-275 (2005)
-
(2005)
, pp. 272-275
-
-
Deixler, P.1
-
133
-
-
84925831819
-
An ultra-wideband SiGe BiCMOS LNA for W-band applications
-
E. öztürk et al., An ultra-wideband SiGe BiCMOS LNA for W-band applications. Microw. Opt. Technol. Lett. 57(6), 1274-1278 (2015)
-
(2015)
Microw. Opt. Technol. Lett
, vol.57
, Issue.6
, pp. 1274-1278
-
-
Öztürk, E.1
-
134
-
-
85055069704
-
SiGe: C BiCMOS components and integrated solutions for F-band radar frontends
-
V. Valenta et al., SiGe: C BiCMOS components and integrated solutions for F-band radar frontends (2015), https://hal.archives-ouvertes.fr/hal-01131093/document
-
(2015)
-
-
Valenta, V.1
-
135
-
-
85055068535
-
SOI in Automotive IC Design
-
SOI Workshop, July
-
H. Boezen, SOI in Automotive IC Design. SOI Workshop, July 2015, http://www. soiconsortium.org/fully-depleted-soi/presentations/silicon-saxony-day-2015/3.%20SOI %20Workshop%20SOI%20in%20Automotive%20IC%20Design%20Henk%20Boezen.pdf
-
(2015)
-
-
Boezen, H.1
-
136
-
-
33644661238
-
Content-addressable memory (CAM) circuits and architectures: a tutorial and survey
-
K. Pagiamtzis et al., Content-addressable memory (CAM) circuits and architectures: a tutorial and survey. IEEE J. Solid-State Circuits 41(3), 712-727 (2006)
-
(2006)
IEEE J. Solid-State Circuits
, vol.41
, Issue.3
, pp. 712-727
-
-
Pagiamtzis, K.1
-
137
-
-
0032277570
-
A 1.9 m2 Loadless CMOS Four Transistor SRAM Cell in a 0.18 m Logic Technology
-
IEDM Digest of Technical Papers, Dec 1998
-
K. Noda et al., A 1.9 m2 Loadless CMOS Four Transistor SRAM Cell in a 0.18 m Logic Technology. IEDM Digest of Technical Papers, Dec 1998, pp. 643-646
-
-
-
Noda, K.1
-
138
-
-
85055067836
-
A 16Mb 400MHz loadless CMOS 4-Transistor SRAMMacro
-
ISSCC Digest of Technical Papers, Feb
-
K. Takeda et al., A 16Mb 400MHz loadless CMOS 4-Transistor SRAMMacro. ISSCC Digest of Technical Papers, Feb 2000
-
(2000)
-
-
Takeda, K.1
-
139
-
-
33644640188
-
Stable SRAM Cell Design for the 32 nm Node and Beyond
-
Digest of Technical Papers
-
L. Chang et al., Stable SRAM Cell Design for the 32 nm Node and Beyond, in 2005 Symposium on VLSI Technology, Digest of Technical Papers, pp. 128-129
-
2005 Symposium on VLSI Technology
, pp. 128-129
-
-
Chang, L.1
-
140
-
-
21644471419
-
Highly Area Efficient and Cost Effective Double Stacked S (Stacked Singlecrystal Si) peripheral CMOS SSTFT and SRAM Cell Technology for 512Mb SRAM
-
IEDM 2004, Digest of Technical Papers
-
S.M. Jung et al., Highly Area Efficient and Cost Effective Double Stacked S (Stacked Singlecrystal Si) peripheral CMOS SSTFT and SRAM Cell Technology for 512Mb SRAM. IEDM 2004, Digest of Technical Papers, pp. 265-268
-
-
-
Jung, S.M.1
-
141
-
-
85055070579
-
5.6Mb/mm2 1R1W 8T SRAM Arrays Operating down to 560mV Utilizing Small-Signal Sensing with Charge-Shared Bitline and Asymmetric Sense Amplifier in 14nm FinFET CMOS Technology Charge-Shared Bitline and Asymmetric Sense Amplifier in 14nm FinFET CMOS Technology
-
ISSCC 2016, Digest of Technical Papers, Feb
-
J. Keane et al., 5.6Mb/mm2 1R1W 8T SRAM Arrays Operating down to 560mV Utilizing Small-Signal Sensing with Charge-Shared Bitline and Asymmetric Sense Amplifier in 14nm FinFET CMOS Technology Charge-Shared Bitline and Asymmetric Sense Amplifier in 14nm FinFET CMOS Technology. ISSCC 2016, Digest of Technical Papers, Feb 2016, pp. 308-309
-
(2016)
, pp. 308-309
-
-
Keane, J.1
-
142
-
-
84962839143
-
A Reconfigurable Dual-Port Memory with Error Detection and Correction in 28nm FDSOI. ISSCC 2016, Digest of Technical Papers, Feb
-
A Reconfigurable Dual-Port Memory with Error Detection and Correction in 28nm FDSOI. ISSCC 2016, Digest of Technical Papers, Feb 2016, pp. 310-311
-
(2016)
, pp. 310-311
-
-
-
143
-
-
84904181493
-
The impact of assist-circuit design for 22 nm SRAM and beyond
-
IEDM Technical Digest
-
E. Karl et al., The impact of assist-circuit design for 22 nm SRAM and beyond. IEDM Technical Digest, pp. 561-564 (2012)
-
(2012)
, pp. 561-564
-
-
Karl, E.1
-
144
-
-
84962834045
-
A 10nm FinFET 128Mb SRAM with Assist Adjustment System for Power, Performance and Area Optimization
-
ISSCC 2016, Digest of Technical Papers, Feb
-
T. Song et al., A 10nm FinFET 128Mb SRAM with Assist Adjustment System for Power, Performance and Area Optimization. ISSCC 2016, Digest of Technical Papers, Feb 2016, pp. 306-307
-
(2016)
, pp. 306-307
-
-
Song, T.1
-
145
-
-
84870588028
-
Z2-FET used as 1-Transistor High-Speed DRAM
-
ESSDERC, Digest of Technical Papers
-
J. Wan et al., Z2-FET used as 1-Transistor High-Speed DRAM. ESSDERC, Digest of Technical Papers (2012)
-
(2012)
-
-
Wan, J.1
-
146
-
-
85055067843
-
Designing for 1GB DDR SDRAM. Micron Technology, Technical Note
-
Designing for 1GB DDR SDRAM. Micron Technology, Technical Note, 2003
-
(2003)
-
-
-
147
-
-
33745140874
-
A 6F2 DRAM Technology in 60 nm era for Gigabit Densities, in 2005 Symposium on VLSI Technology
-
Digest of Technical Papers
-
C. Cho et al., A 6F2 DRAM Technology in 60 nm era for Gigabit Densities, in 2005 Symposium on VLSI Technology, Digest of Technical Papers, pp. 36-37
-
-
-
Cho, C.1
-
148
-
-
0036508259
-
Challenges for future directions for the scaling of DRAM
-
J.A. Mandelman et al., Challenges for future directions for the scaling of DRAM. IBM J. Res. Dev. 46(2/3), 187-212 (2002)
-
(2002)
IBM J. Res. Dev
, vol.46
, Issue.2-3
, pp. 187-212
-
-
Mandelman, J.A.1
-
149
-
-
33645718087
-
A fully integrated Al2O3 trench capacitor DRAM for sub-100 nm technology
-
H. Seidl et al., A fully integrated Al2O3 trench capacitor DRAM for sub-100 nm technology. IEDM, 2002
-
(2002)
IEDM
-
-
Seidl, H.1
-
150
-
-
85055068532
-
High-speed DRAMs keep pace with high-speed systems
-
C. Hampel, High-speed DRAMs keep pace with high-speed systems. EDN, Feb 3, 1997, pp. 141-148
-
(1997)
EDN, Feb 3
, pp. 141-148
-
-
Hampel, C.1
-
151
-
-
0032472612
-
Analyzing and implementing SDRAM and SGRAM controllers
-
EDN, Feb 2
-
C. Green, Analyzing and implementing SDRAM and SGRAM controllers. EDN, Feb 2, 1998, pp. 155-166
-
(1998)
, pp. 155-166
-
-
Green, C.1
-
152
-
-
85055067833
-
High Speed Trends In Memory Market. Keynote address, Jedex Conference, Oct 25-26
-
Shanghai
-
R. Faramarzi, High Speed Trends In Memory Market. Keynote address, Jedex Conference, Oct 25-26, 2006, Shanghai, http://www.jedexchina.org/program.htm
-
(2006)
-
-
Faramarzi, R.1
-
153
-
-
85055067051
-
The Rise of Serial Memory and the Future of DDR, Xilinx, WP456 (v1.1) Mar 23
-
T. Schmitz, The Rise of Serial Memory and the Future of DDR, Xilinx, WP456 (v1.1) Mar 23, 2015
-
(2015)
-
-
Schmitz, T.1
-
154
-
-
85055069915
-
Samsung Develops Ultra-fast Graphics Memory: A More Advanced GDDR4 at Higher Density, Press Release (Feb 14, 2006/SEC)
-
Samsung Develops Ultra-fast Graphics Memory: A More Advanced GDDR4 at Higher Density, Press Release (Feb 14, 2006/SEC)
-
-
-
-
155
-
-
85055068533
-
-
Understanding Video (VRAM) and SGRAM operation (1996). http://www.chips.ibm.com/products/memory
-
(1996)
-
-
-
156
-
-
85037109910
-
Graphics-Optimized DRAMs deliver Top-Notch Performance
-
Mar 23
-
D. Bursky, Graphics-Optimized DRAMs deliver Top-Notch Performance. Electronic design, Mar 23, 1998, pp. 89-100
-
(1998)
Electronic design
, pp. 89-100
-
-
Bursky, D.1
-
157
-
-
39749169345
-
An 8Gb/s/pin 9.6 ns Row-Cycle 288Mb Deca-Data Rate SDRAM with an I/O error-detection Scheme
-
Feb
-
K.-h. Kim et al., An 8Gb/s/pin 9.6 ns Row-Cycle 288Mb Deca-Data Rate SDRAM with an I/O error-detection Scheme. ISSCC Digest of Technical Papers, Feb 2006, pp.154-155
-
(2006)
ISSCC Digest of Technical Papers
, pp. 154-155
-
-
Kim, K.-H.1
-
158
-
-
39749159371
-
A 65 nm Low-Power Embedded DRAM with Extended Data-Retention Sleep Mode
-
Feb 2006
-
T. Nagai, A 65 nm Low-Power Embedded DRAM with Extended Data-Retention Sleep Mode. ISSCC Digest of Technical Papers, Feb 2006, pp.164-165
-
ISSCC Digest of Technical Papers
, pp. 164-165
-
-
Nagai, T.1
-
160
-
-
0346167061
-
EEPROM, survival of the fittest, EDN, Jan 15
-
B. Dipert, EEPROM, survival of the fittest, EDN, Jan 15, 1998, pp. 77-90
-
(1998)
, pp. 77-90
-
-
Dipert, B.1
-
161
-
-
85055067838
-
Intel, Micron offer 128-Gbit NAND flash memory
-
EETimes, EETIMES, 12-6-2011
-
P. Clarke, Intel, Micron offer 128-Gbit NAND flash memory, EETimes, EETIMES, 12-6-2011
-
-
-
Clarke, P.1
-
162
-
-
85055069701
-
Samsung hits high gear, rolls out densest flash chip
-
Apr 11
-
L. Mearian, Samsung hits high gear, rolls out densest flash chip. Computer world, Apr 11, 2013, http://www.computerworld.com/s/article/9238339/Samsung_hits_high_gear_rolls_out_ densest_flash_chip
-
(2013)
Computer world
-
-
Mearian, L.1
-
164
-
-
84885577384
-
Threshold voltage distribution in MLC NAND flash memory: characterization, analysis, and modeling
-
Mar
-
Y. Cai et al., Threshold voltage distribution in MLC NAND flash memory: characterization, analysis, and modeling, in Proceedings of the Conference on Design, Automation and Test, pp. 1285-1290, Mar 2013
-
(2013)
Proceedings of the Conference on Design, Automation and Test
, pp. 1285-1290
-
-
Cai, Y.1
-
165
-
-
85055067052
-
JEDEC Solid State Technology Association, Stress-Test-Driven Qualification of Integrated Circuits, JESD47G.01
-
Apr
-
JEDEC Solid State Technology Association, Stress-Test-Driven Qualification of Integrated Circuits, JESD47G.01, Apr 2010, http://www.jedec.org/
-
(2010)
-
-
-
166
-
-
85055068534
-
3D-NAND Deposition and Etch Integration. Semiconductor Manufacturing & Design Community
-
Sept
-
Ed Korczynski, 3D-NAND Deposition and Etch Integration. Semiconductor Manufacturing & Design Community, Sept 2016, http://semimd.com/blog/tag/3d-nand/
-
(2016)
-
-
-
167
-
-
85055069917
-
First Look at Samsung's 48L 3D V-NAND Flash
-
Apr 6
-
K. Gibb, First Look at Samsung's 48L 3D V-NAND Flash. EE Times, Apr 6, 2016
-
(2016)
EE Times
-
-
Gibb, K.1
-
168
-
-
84962791896
-
256Gb 3b/Cell V-NAND Flash Memory with 48 Stacked WL Layers
-
D. Kang et al., 256Gb 3b/Cell V-NAND Flash Memory with 48 Stacked WL Layers. ISSCC Digest of Technical Papers, pp. 130-131
-
ISSCC Digest of Technical Papers
, pp. 130-131
-
-
Kang, D.1
-
169
-
-
85055070580
-
Micron's 3D NAND Innovative Fabrication Process
-
July 13
-
K. Gibb, Micron's 3D NAND Innovative Fabrication Process. EE Times, July 13, 2016
-
(2016)
EE Times
-
-
Gibb, K.1
-
171
-
-
85014682268
-
3D XPoint Steps Into the Light
-
Jan 14
-
R. Merritt, 3D XPoint Steps Into the Light. EE Times, Jan 14, 2016
-
(2016)
EE Times
-
-
Merritt, R.1
-
172
-
-
85055069695
-
Just ONE THOUSAND times BETTER than FLASH! Intel, Micron's amazing claim
-
28 Jul 2015, The Register
-
C. Mellor, Just ONE THOUSAND times BETTER than FLASH! Intel, Micron's amazing claim, 28 Jul 2015, The Register, http://www.theregister.co.uk/2015/07/28/intel_micron_3d_ xpoint/
-
-
-
Mellor, C.1
-
173
-
-
85055068526
-
Samsung at Flash Memory Summit: 64-layer V-NAND, Bigger SSDs, Z-SSD
-
Aug 11
-
B. Tallis, Samsung at Flash Memory Summit: 64-layer V-NAND, Bigger SSDs, Z-SSD, http://www.anandtech.com/show/10560/, Aug 11, 2016
-
(2016)
-
-
Tallis, B.1
-
174
-
-
39749201908
-
A 64Mb Chain FeRAM with Quad-BL Architecture and 200MB/s Burst Mode
-
Feb 2006
-
K. Hoya et al., A 64Mb Chain FeRAM with Quad-BL Architecture and 200MB/s Burst Mode. ISSCC Digest of Technical Papers, Feb 2006, pp. 134-135
-
ISSCC Digest of Technical Papers
, pp. 134-135
-
-
Hoya, K.1
-
175
-
-
85055070574
-
MRAM Steps to 16Mbit
-
June 23
-
R. Wilson, MRAM Steps to 16Mbit. Electronics Weekly, June 23, 2004
-
(2004)
Electronics Weekly
-
-
Wilson, R.1
-
176
-
-
84928347019
-
Ferroelectric Hafnium Oxide Based Materials and Devices: Assessment of Current Status and Future Prospects
-
J. Müller et al., Ferroelectric Hafnium Oxide Based Materials and Devices: Assessment of Current Status and Future Prospects. ECS J. Solid State Sci. Technol. 4(5), N30-N35 (2015)
-
(2015)
ECS J. Solid State Sci. Technol
, vol.4
, Issue.5
, pp. N30-N35
-
-
Müller, J.1
-
177
-
-
84894297755
-
Ferroelectric Hafnium Oxide: A CMOS-compatible and highly scalable approach to future ferroelectric memories
-
J. Müller et al., Ferroelectric Hafnium Oxide: A CMOS-compatible and highly scalable approach to future ferroelectric memories, in International Electronic Device Meeting (IEDM), pp. 280-283 (2013)
-
(2013)
International Electronic Device Meeting (IEDM)
, pp. 280-283
-
-
Müller, J.1
-
178
-
-
84904669773
-
FEDRAM: A capacitor-less DRAM based on ferroelectric-gated field-effect transistor
-
18-21 May
-
T.P. Ma, FEDRAM: A capacitor-less DRAM based on ferroelectric-gated field-effect transistor, in 2014 IEEE 6th International Memory Workshop (IMW), 18-21 May 2014
-
(2014)
2014 IEEE 6th International Memory Workshop (IMW)
-
-
Ma, T.P.1
-
179
-
-
84862095898
-
FeFET logic circuits for operating a 64 kb FeNAND flash memory array
-
Z. Xizhen, FeFET logic circuits for operating a 64 kb FeNAND flash memory array. Integr. Ferroelectr. 132(1), 114-121 (2016)
-
(2016)
Integr. Ferroelectr
, vol.132
, Issue.1
, pp. 114-121
-
-
Xizhen, Z.1
-
180
-
-
11344272152
-
FRAM: ready to ditch niche?
-
Apr 10
-
B. Dipert, FRAM: ready to ditch niche? EDN, Apr 10, 1997, pp. 93-107
-
(1997)
EDN
, pp. 93-107
-
-
Dipert, B.1
-
181
-
-
2442666411
-
A 0.18 m 3.0V 64Mb nonvolatile phase-transition random access memory (PRAM)
-
2004 ISSCC Digest of Technical Papers
-
W.Y. Cho et al., A 0.18 m 3.0V 64Mb nonvolatile phase-transition random access memory (PRAM). 2004 ISSCC Digest of Technical Papers (2004), pp. 40-41
-
(2004)
, pp. 40-41
-
-
Cho, W.Y.1
-
182
-
-
33846200591
-
A 0.1 m 1.8V 256Mb 66MHz Synchronous Burst PRAM
-
Feb
-
S. Kang et al., A 0.1 m 1.8V 256Mb 66MHz Synchronous Burst PRAM. ISSCC Digest of Technical Papers, Feb 2006, pp.140-141
-
(2006)
ISSCC Digest of Technical Papers
, pp. 140-141
-
-
Kang, S.1
-
183
-
-
85030178100
-
Samsung to ship MCP with phase-change
-
EE Times 28-04-2010
-
M. LaPedus, Samsung to ship MCP with phase-change. EE Times 28-04-2010
-
-
-
LaPedus, M.1
-
184
-
-
77950580500
-
Phase change memory technology
-
G.W. Burr et al., Phase change memory technology. J. Vac. Sci. Technol. B 28(2), 223-262 (2010)
-
(2010)
J. Vac. Sci. Technol. B
, vol.28
, Issue.2
, pp. 223-262
-
-
Burr, G.W.1
-
185
-
-
85055067827
-
Will phase-change memory replace flash memory?
-
Sept
-
Kurzweil AI, Will phase-change memory replace flash memory? Sept 2013
-
(2013)
-
-
Kurzweil, A.I.1
-
186
-
-
84882368454
-
Phase transition characteristics of Al-Sb phase change materials for phase change memory application
-
X. Zhou et al., Phase transition characteristics of Al-Sb phase change materials for phase change memory application. Appl. Phys. Lett. 103(7) (2013)
-
(2013)
Appl. Phys. Lett
, vol.103
, Issue.7
-
-
Zhou, X.1
-
187
-
-
33644897906
-
Buffer-enhanced electrical-pulse-induced-resistive memory effect in thin film perovskites
-
X. Chen et al., Buffer-enhanced electrical-pulse-induced-resistive memory effect in thin film perovskites. Jpn. J. Appl. Phys. Part 1 45(3A), 1602-1606 (2006)
-
(2006)
Jpn. J. Appl. Phys. Part 1
, vol.45
, Issue.3 A
, pp. 1602-1606
-
-
Chen, X.1
-
188
-
-
85055066987
-
Resistive RAM sets chip companies racing
-
04-24-2006
-
P. Clarke, Resistive RAM sets chip companies racing. EETimes, 04-24-2006
-
EETimes
-
-
Clarke, P.1
-
189
-
-
79955715103
-
Evidence and solution of over-RESET problem for HfOtenrmx based resistive memory with sub-ns switching speed and high endurance
-
pp. 19.7.1-19.7.4
-
H.Y. Lee et al., Evidence and solution of over-RESET problem for HfOtenrmx based resistive memory with sub-ns switching speed and high endurance, in Proceedings of the IEDM (2010), pp. 19.7.1-19.7.4
-
(2010)
Proceedings of the IEDM
-
-
Lee, H.Y.1
-
190
-
-
84866544568
-
Ultralow sub-500nA operating current high-performance TiNnAl2O3nHfO2nHfnTiN bipolar RRAM achieved through understanding-based stackengineering
-
Digest of Tech. Papers
-
L. Goux et al., Ultralow sub-500nA operating current high-performance TiNnAl2O3nHfO2nHfnTiN bipolar RRAM achieved through understanding-based stackengineering, in Symposia on VLSI Technology, Digest of Tech. Papers, pp. 159 (2012)
-
(2012)
Symposia on VLSI Technology
, pp. 159
-
-
Goux, L.1
-
191
-
-
84991838749
-
A 130.7 mm2 two-layer 32-Gbit ReRAM memory device in 24-nm technology
-
T.-Y. Liu, T.H. Yan et al., A 130.7 mm2 two-layer 32-Gbit ReRAM memory device in 24-nm technology. Proc. ISSCC, paper 12.1 (2013)
-
(2013)
Proc. ISSCC, paper
, vol.12
, Issue.1
-
-
Liu, T.-Y.1
Yan, T.H.2
-
192
-
-
39749168513
-
A non-volatile 2 Mbit CBRAM memory core featuring advanced read and program control
-
H. Hönigschmid et al., A non-volatile 2 Mbit CBRAM memory core featuring advanced read and program control, in Proceedings of 2006 Symposium on VLSI Circuits, pp. 138-139
-
Proceedings of 2006 Symposium on VLSI Circuits
, pp. 138-139
-
-
Hönigschmid, H.1
-
193
-
-
0018453798
-
Placement and average interconnections lengths of computer logic
-
W.J. Donath, Placement and average interconnections lengths of computer logic. IEEE Trans. Circ. Syst. 26(4), 272 (1979)
-
(1979)
IEEE Trans. Circ. Syst
, vol.26
, Issue.4
, pp. 272
-
-
Donath, W.J.1
-
194
-
-
85055066988
-
ITRS roadmap, yearly update
-
Semiconductors Industrial Associations, ITRS roadmap, yearly update, http://www.itrs.net
-
-
-
-
195
-
-
85055068782
-
SRAM Sessions, International Solid States Circuits Conference 2005 and 2006, ISSCC Digest of Technical Papers, 2005 and 2006
-
SRAM Sessions, International Solid States Circuits Conference 2005 and 2006, ISSCC Digest of Technical Papers, 2005 and 2006
-
-
-
-
196
-
-
85055070706
-
ETOXTM Flash Memory Technology: Scaling and Integration Challenges
-
May 16
-
A. Fazio et al., ETOXTM Flash Memory Technology: Scaling and Integration Challenges, May 16, 2002, http://developer.intel.com/technology/itj/2002/volume06issue02/art03_ flashmemory/vol6iss2_art03.pdf
-
(2002)
-
-
Fazio, A.1
-
198
-
-
85055067413
-
Status 1999, A report on the IC industry
-
ICE Corporation, Scottsdale, Arizona
-
W.J. McClean, Status 1999, A report on the IC industry. ICE Corporation, Scottsdale, Arizona (1999)
-
(1999)
-
-
McClean, W.J.1
-
200
-
-
85055070705
-
IEEE Digest of Technical Papers of the International Solid State Circuit Conference
-
The ISSCC is held every year in February in San Francisco
-
IEEE Digest of Technical Papers of the International Solid State Circuit Conference. The ISSCC is held every year in February in San Francisco
-
-
-
-
201
-
-
85055067415
-
IEEE Journal of Solid-State Circuits 67
-
IEDM Digest of Technical Papers, Since 1984
-
IEEE Journal of Solid-State Circuits 67. IEDM Digest of Technical Papers, Since 1984
-
-
-
-
202
-
-
34548827574
-
XETAL-II: A 107 GOPS, 600mW massively-parallel processor for video scene analysis
-
ISSCC Digest of Technical Papers, San Francisco
-
A. Abbo et al., XETAL-II: A 107 GOPS, 600mW massively-parallel processor for video scene analysis. ISSCC Digest of Technical Papers, San Francisco, 2007
-
(2007)
-
-
Abbo, A.1
-
203
-
-
33747065595
-
Startup Liga promises to rev simulation
-
17 July
-
R. Goering, Startup Liga promises to rev simulation, EE Times, 17 July 2006
-
(2006)
EE Times
-
-
Goering, R.1
-
204
-
-
69949114796
-
An introduction to high-level synthesis
-
P. Coussy et al., An introduction to high-level synthesis. IEEE Des. Test Comput. 26(4), 8-17 (2009)
-
(2009)
IEEE Des. Test Comput
, vol.26
, Issue.4
, pp. 8-17
-
-
Coussy, P.1
-
205
-
-
84875592012
-
System-on-chip design using high-level synthesis tools
-
E. Oruklu et al., System-on-chip design using high-level synthesis tools. Circuits Syst. 3, 1-9 (2012)
-
(2012)
Circuits Syst
, vol.3
, pp. 1-9
-
-
Oruklu, E.1
-
206
-
-
85055067637
-
High-level synthesis case study:implementation of a memcached server, in 1st International Workshop on FPGAs for Software Programmers (FSP 2014)
-
Munich, Germany, 1 September
-
K. Karras et al., High-level synthesis case study:implementation of a memcached server, in 1st International Workshop on FPGAs for Software Programmers (FSP 2014), Munich, Germany, 1 September 2014
-
(2014)
-
-
Karras, K.1
-
207
-
-
85055069694
-
IP Reuse - Design and Verification Report 2013
-
IC Manage Inc
-
S. Sikand, IP Reuse - Design and Verification Report 2013, IC Manage Inc., 2016
-
(2016)
-
-
Sikand, S.1
-
208
-
-
67349202143
-
Statistical static timing analysis: a survey
-
Elsevier, 2009
-
C. Forzana, D. Pandini, Statistical static timing analysis: a survey. Integr. VLSI J. 42, 409-435 (2009). Elsevier, 2009
-
(2009)
Integr. VLSI J
, vol.42
, pp. 409-435
-
-
Forzana, C.1
Pandini, D.2
-
210
-
-
85055067593
-
Modeling and architectural simulations of the statistical static timing analysis of the non-gaussian variation sources for VLSI circuits
-
Trans Tech Publications, Durnten-Zurich
-
A.M. Baker, Y. Jiang, Modeling and architectural simulations of the statistical static timing analysis of the non-gaussian variation sources for VLSI circuits, in International Journal of Scientific and Research Publications, vol. 3, issue 1 (Trans Tech Publications, Durnten-Zurich, 2013)
-
(2013)
International Journal of Scientific and Research Publications
, vol.3
, Issue.1
-
-
Baker, A.M.1
Jiang, Y.2
-
211
-
-
85055067042
-
VLSI: techniques for efficient standard cell placement
-
A. Malik et al., VLSI: techniques for efficient standard cell placement, in IJSE-ITS: Race-2014 (2014). ISSN:2347-2200/V2-N1/PP-17-21
-
(2014)
in IJSE-ITS: Race-2014
-
-
Malik, A.1
-
212
-
-
84903156437
-
Fundamentals of Floor Planning A Complex SoC
-
21 Mar
-
A. Hassan, Fundamentals of Floor Planning A Complex SoC. Electronic Design, 21 Mar 2012
-
(2012)
Electronic Design
-
-
Hassan, A.1
-
213
-
-
85055068525
-
A novel basic cell configuration for CMOS gate-array
-
May 1982
-
I. Okhura, et al., A novel basic cell configuration for CMOS gate-array, in Custom Intergrated Circuits Conference 1982, pp 307-310, May 1982
-
(1982)
Custom Intergrated Circuits Conference
, pp. 307-310
-
-
Okhura, I.1
-
214
-
-
0025450905
-
An efficient and flexible architecture for high-density gate arrays
-
San Francisco
-
H.J.M. Veendrick et al., An efficient and flexible architecture for high-density gate arrays. ISSCC Digest of Technical Papers, San Francisco, 1990
-
(1990)
ISSCC Digest of Technical Papers
-
-
Veendrick, H.J.M.1
-
215
-
-
84919920251
-
FPGAs as ASIC alternatives: Past and Future
-
21 Apr
-
Z. Or-Bach, FPGAs as ASIC alternatives: Past and Future. EE Times, 21 Apr 2014
-
(2014)
EE Times
-
-
Or-Bach, Z.1
-
216
-
-
85055069693
-
See current CPLD architectures on the CPLD vendors websites: Altera, Xilinx, Lattice, Cypres, etc.
-
See current CPLD architectures on the CPLD vendors websites: Altera, Xilinx, Lattice, Cypres, etc., 2016
-
(2016)
-
-
-
217
-
-
85055068524
-
Structured Arrays/Gate Arrays; FFSA/Fit FAST Structured ARRAY
-
Structured Arrays/Gate Arrays; FFSA/Fit FAST Structured ARRAY (2014), http://toshiba. semicon-storage.com/eu/product/asic/structured-arrays.html
-
(2014)
-
-
-
218
-
-
85055067594
-
Is it an ASIC? Is it an FPGA? No, it's eASIC!
-
14 Sept
-
M. Maxfield, Is it an ASIC? Is it an FPGA? No, it's eASIC!. EE Times, 14 Sept 2015
-
(2015)
EE Times
-
-
Maxfield, M.1
-
220
-
-
85055067636
-
BU-106: Advantages of primary batteries
-
BU-106: Advantages of primary batteries (2016), http://batteryuniversity.com/learn/article/primary_batteries
-
(2016)
-
-
-
221
-
-
83655183076
-
Li-O2 and Li-S batteries with high energy storage
-
[U. St. Andrews, Scotland]
-
P.G. Bruce et al., Li-O2 and Li-S batteries with high energy storage. Nat. Mater. 11(1), 19-29 (2012). [U. St. Andrews, Scotland]
-
(2012)
Nat. Mater
, vol.11
, Issue.1
, pp. 19-29
-
-
Bruce, P.G.1
-
222
-
-
85055070572
-
Lithium-air batteries are getting safer, cheaper, and longer-lasting
-
D. Borghino, Lithium-air batteries are getting safer, cheaper, and longer-lasting (2016), http://newatlas.com/lithium-air-batteries/44648/
-
(2016)
-
-
Borghino, D.1
-
223
-
-
84895882850
-
The rechargeable revolution: a better battery
-
R. Van Noorden, The rechargeable revolution: a better battery. Nature 507, 26-28 (2014)
-
(2014)
Nature
, vol.507
, pp. 26-28
-
-
Van Noorden, R.1
-
224
-
-
0029253931
-
50% Active-Power saving without speed degradation using standby power reduction (SPR) Circuit
-
K. Seta et al., 50% Active-Power saving without speed degradation using standby power reduction (SPR) Circuit. IEEE Digest of Technical papers, pp. 318, 319 (1995)
-
(1995)
IEEE Digest of Technical papers, pp
, vol.318
, pp. 319
-
-
Seta, K.1
-
225
-
-
0030285492
-
A 0.9V, 150MHz, 10mW, 4mm2, 2D discrete cosine transform core processor with variable threshold voltage (VT) scheme
-
T. Kuroda et al., A 0.9V, 150MHz, 10mW, 4mm2, 2D discrete cosine transform core processor with variable threshold voltage (VT) scheme. IEEE J. Solid-State Circuits 1770-1779 (1996)
-
(1996)
IEEE J. Solid-State Circuits
, pp. 1770-1779
-
-
Kuroda, T.1
-
227
-
-
0142196052
-
Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation
-
T. Chen et al., Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation. IEEE Trans. Very Large Scale Integr. VLSI Syst. 11(5), 888-899 (2003)
-
(2003)
IEEE Trans. Very Large Scale Integr. VLSI Syst
, vol.11
, Issue.5
, pp. 888-899
-
-
Chen, T.1
-
228
-
-
67649115008
-
Limits to performance spread tuning using adaptive voltage and body biasing
-
M. Meijer et al., Limits to performance spread tuning using adaptive voltage and body biasing, in International Symposium on Circuits and Systems (ISCAS), pp. 5-8 (2005)
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 5-8
-
-
Meijer, M.1
-
229
-
-
0030737851
-
A 0.25±m CMOS 0.9V, 100 MHz, DSP core
-
M. Izumikawa et al., A 0.25±m CMOS 0.9V, 100 MHz, DSP core. IEEE J. Solid-State Circuits 32, 52-61 (1997)
-
(1997)
IEEE J. Solid-State Circuits
, vol.32
, pp. 52-61
-
-
Izumikawa, M.1
-
230
-
-
1642411056
-
Gate oxide leakage current analysis and reduction for VLSI circuits
-
D. Lee et al., Gate oxide leakage current analysis and reduction for VLSI circuits. IEEE Trans. VLSI Syst. 12(2), 155-166 (2004)
-
(2004)
IEEE Trans. VLSI Syst
, vol.12
, Issue.2
, pp. 155-166
-
-
Lee, D.1
-
231
-
-
84871827258
-
International Technology Roadmap for Semiconductors, 2011 Edition; Interconnect
-
International Technology Roadmap for Semiconductors, 2011 Edition; Interconnect, pp. 27-29
-
-
-
-
232
-
-
85055070573
-
Design methods and circuit techniques to reduce leakage in deep submicron
-
C. Piguet, Design methods and circuit techniques to reduce leakage in deep submicron, in Faible Tension Faible Consommation, FTFC (2003)
-
(2003)
Faible Tension Faible Consommation, FTFC
-
-
Piguet, C.1
-
233
-
-
0021477994
-
Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits
-
H.J.M. Veendrick, Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits. IEEE J. Solid State Circuits SC-19(4), 468-473 (1984)
-
(1984)
IEEE J. Solid State Circuits SC-19
, Issue.4
, pp. 468-473
-
-
Veendrick, H.J.M.1
-
234
-
-
0025505498
-
A voltage reduction technique for battery-operated systems
-
V. Von Kaenel et al., A voltage reduction technique for battery-operated systems. IEEE J. Solid-State Circuits 25, 1136-1140 (1990)
-
(1990)
IEEE J. Solid-State Circuits
, vol.25
, pp. 1136-1140
-
-
Von Kaenel, V.1
-
237
-
-
85055067633
-
The power of dynamic voltage frequency scaling
-
20 Aug
-
V. Viswanath, The power of dynamic voltage frequency scaling. EE Times, 20 Aug 2015
-
(2015)
EE Times
-
-
Viswanath, V.1
-
238
-
-
37749025732
-
NanometerMOSFET variation in minimum energy subthreshold circuits
-
N. Verma et al., NanometerMOSFET variation in minimum energy subthreshold circuits. IEEE Trans. Electron Devices 55(1), 163-174 (2008)
-
(2008)
IEEE Trans. Electron Devices
, vol.55
, Issue.1
, pp. 163-174
-
-
Verma, N.1
-
239
-
-
84928342036
-
Designing tunable subthreshold logic circuits using adaptive feedback equalization
-
M. Zangeneh et al., Designing tunable subthreshold logic circuits using adaptive feedback equalization. IEEE Trans. Very Large Scale Integr. VLSI Syst. 24(3), 884-889 (2016)
-
(2016)
IEEE Trans. Very Large Scale Integr. VLSI Syst
, vol.24
, Issue.3
, pp. 884-889
-
-
Zangeneh, M.1
-
240
-
-
0025419522
-
A 3.8 ns CMOS 16x16-b multiplier using complementary pass-transistor logic
-
K. Yano et al., A 3.8 ns CMOS 16x16-b multiplier using complementary pass-transistor logic. IEEE J. Solid State Circuits 25, 388-393 (1990)
-
(1990)
IEEE J. Solid State Circuits
, vol.25
, pp. 388-393
-
-
Yano, K.1
-
242
-
-
0030166616
-
A swing restored pass-transistor logic-based multiply and accumulate circuit for multimedia applications
-
A. Parameswar et al., A swing restored pass-transistor logic-based multiply and accumulate circuit for multimedia applications. IEEE J. Solid State Circuits 31, 805-809 (1996)
-
(1996)
IEEE J. Solid State Circuits
, vol.31
, pp. 805-809
-
-
Parameswar, A.1
-
243
-
-
0030166924
-
Top-down pass-transistor logic design
-
K. Jano et al., Top-down pass-transistor logic design. IEEE J. Solid State Circuits 31, 792-803 (1996)
-
(1996)
IEEE J. Solid State Circuits
, vol.31
, pp. 792-803
-
-
Jano, K.1
-
245
-
-
4043057560
-
Design methodology for high speed and low power digital circuits with energy economized pass-transistor logic (EEPL)
-
M. Song et al., Design methodology for high speed and low power digital circuits with energy economized pass-transistor logic (EEPL), in Proceeding of the 22nd ESSCIRC Digest, pp. 120-123 (1996)
-
(1996)
in Proceeding of the 22nd ESSCIRC Digest
, pp. 120-123
-
-
Song, M.1
-
246
-
-
4043124285
-
Push-pull pass-transistor logic family for low-voltage and low-power
-
W.H. Paik et al., Push-pull pass-transistor logic family for low-voltage and low-power, in Proceeding of the 22nd ESSCIRC Digest, pp. 116-119 (1996)
-
(1996)
Proceeding of the 22nd ESSCIRC Digest
, pp. 116-119
-
-
Paik, W.H.1
-
247
-
-
0031189144
-
Low-power logic styles: CMOS versus pass-transistor logic
-
R. Zimmermann, W. Fichtner, Low-power logic styles: CMOS versus pass-transistor logic. IEEE J. Solid State Circuits 32, 1079-1090 (1997)
-
(1997)
IEEE J. Solid State Circuits
, vol.32
, pp. 1079-1090
-
-
Zimmermann, R.1
Fichtner, W.2
-
248
-
-
85055070570
-
A comparative study on reduction in power consumption by switching activity of 8 8 precision multipliers
-
Vivekvati et al., A comparative study on reduction in power consumption by switching activity of 8 8 precision multipliers. Int. J. Adamas Tech. Rev. 2(1), 5-10 (2015)
-
(2015)
Int. J. Adamas Tech. Rev
, vol.2
, Issue.1
, pp. 5-10
-
-
Vivekvati1
-
249
-
-
85055069911
-
-
Addison- Wesley, Reading, MA
-
C. Mead, L. Conway, in Introduction to VLSI Systems, Chapter 7, ed. by C. Seitz (Addison- Wesley, Reading, MA, 1980)
-
(1980)
in Introduction to VLSI Systems, Chapter 7, ed. by C. Seitz
-
-
Mead, C.1
Conway, L.2
-
250
-
-
18744428737
-
To be or not to be asynchronous that is the question
-
C. Maxfield, To be or not to be asynchronous that is the question. EDN 40, 157-173 (1995)
-
(1995)
EDN
, vol.40
, pp. 157-173
-
-
Maxfield, C.1
-
251
-
-
85055067634
-
A design experiment for a smart card application consuming low energy, Chapter 13
-
Kluwer Academic Publishers, Boston
-
J. Kessels et al., A design experiment for a smart card application consuming low energy, Chapter 13, in Principles of Asynchronous Circuit Design: A Systems Pespective (Kluwer Academic Publishers, Boston, 2001)
-
(2001)
Principles of Asynchronous Circuit Design: A Systems Pespective
-
-
Kessels, J.1
-
252
-
-
1842478716
-
Asynchronous interconnect for synchronous (SOC) design
-
R A. Lines, Asynchronous interconnect for synchronous (SOC) design. IEEE Micro J. 24(1), 32-41 (2004)
-
(2004)
IEEE Micro J
, vol.24
, Issue.1
, pp. 32-41
-
-
Lines R, A.1
-
253
-
-
34548230949
-
ARM996HS, the first licensable, clockless 32-bit processor core
-
A. Bink, ARM996HS, the first licensable, clockless 32-bit processor core. IEEE Micro J. 27, 58-68 (2007)
-
(2007)
IEEE Micro J
, vol.27
, pp. 58-68
-
-
Bink, A.1
-
254
-
-
0041975086
-
Synchronous full-scan for asynchronous handshake circuits
-
F. te Beest et al., Synchronous full-scan for asynchronous handshake circuits. J. Electron. Test. Theory Appl. 19, 397-406 (2003)
-
(2003)
J. Electron. Test. Theory Appl
, vol.19
, pp. 397-406
-
-
Te Beest, F.1
-
255
-
-
0028454894
-
Low power design using double edge triggered flip-flops
-
R. Hossain et al., Low power design using double edge triggered flip-flops. IEEE Trans. VLSI 2(2), 261-265 (1994)
-
(1994)
IEEE Trans. VLSI
, vol.2
, Issue.2
, pp. 261-265
-
-
Hossain, R.1
-
256
-
-
0030828211
-
New single-clock CMOS latches and flipflops with improved speed and power savings
-
J. Yuang et al., New single-clock CMOS latches and flipflops with improved speed and power savings. IEEE J. Solid State Circuits 32, 62-69 (1997)
-
(1997)
IEEE J. Solid State Circuits
, vol.32
, pp. 62-69
-
-
Yuang, J.1
-
257
-
-
0033521780
-
Low power double edge-triggered flip-flop using one latch
-
A.G.M. Strollo et al., Low power double edge-triggered flip-flop using one latch. Electron. Lett. 35, 187-188 (1999)
-
(1999)
Electron. Lett
, vol.35
, pp. 187-188
-
-
Strollo, A.G.M.1
-
258
-
-
85055069692
-
ESSCIRC, Low-Power Workshop 1997
-
Southampton
-
B. Barton et al., ESSCIRC, Low-Power Workshop 1997, Southampton, 1997
-
(1997)
-
-
Barton, B.1
-
259
-
-
0035334849
-
A clock distribution network for microprocessors
-
P.J. Resle et al., A clock distribution network for microprocessors. IEEE J. Solid-State Circuits 36(5), 792-799 (2001)
-
(2001)
IEEE J. Solid-State Circuits
, vol.36
, Issue.5
, pp. 792-799
-
-
Resle, P.J.1
-
260
-
-
21244456045
-
Clock generation and distribution for high-performance processors
-
S. Rusu, Clock generation and distribution for high-performance processors, SoC (2004), http://www.tkt.cs.tut.fi/kurssit/8404941/S04/chapter5.pdf
-
(2004)
SoC
-
-
Rusu, S.1
-
261
-
-
85055067592
-
Digital system clocking, from: high-speed digital CMOS circuits
-
Technical University, Munich, Summer Term
-
S. Henzler, Digital system clocking, from: high-speed digital CMOS circuits, Technical University, Munich, Summer Term 2015
-
(2015)
-
-
Henzler, S.1
-
263
-
-
85055070571
-
Circuit design challenges for integrated systems
-
European Solid-State Circuits Conference, September
-
S. Rusu, Circuit design challenges for integrated systems, in Workshop on Integrated Systems, European Solid-State Circuits Conference, September, 1999
-
(1999)
in Workshop on Integrated Systems
-
-
Rusu, S.1
-
264
-
-
84954352187
-
-
Springer Briefs in Electrical and Computer Engineering, New York
-
C. Kim et al., High Bandwidth Memory Interface (Springer Briefs in Electrical and Computer Engineering, New York, 2014)
-
(2014)
High Bandwidth Memory Interface
-
-
Kim, C.1
-
268
-
-
0036575107
-
Embedded Robustness IPs for transient-error-free ICs
-
E. Dupont et al., Embedded Robustness IPs for transient-error-free ICs. IEEE Des. Test Comput. 19(3), 56-70 (2002)
-
(2002)
IEEE Des. Test Comput
, vol.19
, Issue.3
, pp. 56-70
-
-
Dupont, E.1
-
269
-
-
33845402343
-
Soft-error rate testing of deep-submicron integrated circuits
-
in Test Symposium (ETS '06)
-
T. Heijmen et al., Soft-error rate testing of deep-submicron integrated circuits, in Test Symposium (ETS '06) (2006)
-
(2006)
-
-
Heijmen, T.1
-
270
-
-
85055068530
-
Soft-error impacts on design for reliability technologies
-
July
-
M. Derby, Soft-error impacts on design for reliability technologies. Keynote Talk at IOLTS, July 2007
-
(2007)
Keynote Talk at IOLTS
-
-
Derby, M.1
-
272
-
-
85055069700
-
A signal integrity self test (SIST) concept for the debug of nanometer CMOS ICs
-
ISSCC 2006, Digest of Technical Papers, session 29
-
V. Petrescu et al., A signal integrity self test (SIST) concept for the debug of nanometer CMOS ICs. ISSCC 2006, Digest of Technical Papers, session 29 (2006)
-
(2006)
-
-
Petrescu, V.1
-
273
-
-
39049131196
-
Implications of proximity effects for analog design
-
P. Drennan et al., Implications of proximity effects for analog design, in IEEE 2006 CICC Conference (2006)
-
(2006)
IEEE 2006 CICC Conference
-
-
Drennan, P.1
-
274
-
-
85055068531
-
Modelling process variability in the design flow
-
Chip Design Magazine, Issue Dec 2005/Jan 2006
-
J.M. Brunet, Modelling process variability in the design flow. Chip Design Magazine, Issue Dec 2005/Jan 2006
-
-
-
Brunet, J.M.1
-
275
-
-
46149124800
-
The analog challenge of nanometer CMOS
-
IEDM 2006, Digest of Technical Papers
-
M. Vertregt, The analog challenge of nanometer CMOS. IEDM 2006, Digest of Technical Papers, pp. 11-18 (2006)
-
(2006)
, pp. 11-18
-
-
Vertregt, M.1
-
277
-
-
85055067040
-
FinFET structure design and variability analysis enabled by TCAD
-
8 Oct
-
V. Moroz, FinFET structure design and variability analysis enabled by TCAD. EE Times, 8 Oct 2012
-
(2012)
EE Times
-
-
Moroz, V.1
-
278
-
-
77958603976
-
Impact of metal gate granularity on threshold voltage variability a full-scale three-dimensional statistical simulation study
-
A.R. Brown et al., Impact of metal gate granularity on threshold voltage variability a full-scale three-dimensional statistical simulation study. IEEE Electron Device Lett. 31(11), 1199-1201 (2010)
-
(2010)
IEEE Electron Device Lett
, vol.31
, Issue.11
, pp. 1199-1201
-
-
Brown, A.R.1
-
279
-
-
44849089686
-
Impact of well edge proximity effect on timing
-
ESSCIRC 2007, Digest of Technical Papers
-
T. Kanamoto et al., Impact of well edge proximity effect on timing. ESSCIRC 2007, Digest of Technical Papers, pp. 115-118 (2007)
-
(2007)
, pp. 115-118
-
-
Kanamoto, T.1
-
280
-
-
85055067632
-
Variability modeling and statistical parameter extraction for CMOS devices, Dissertation No. UCB/EECS-2015-165
-
Electrical Engineering and Computer Sciences, University of California at Berkeley, June
-
K. Qian, Variability modeling and statistical parameter extraction for CMOS devices, Dissertation No. UCB/EECS-2015-165, Electrical Engineering and Computer Sciences, University of California at Berkeley, June 2015
-
(2015)
-
-
Qian, K.1
-
281
-
-
85055067050
-
Study of variability in advanced transistor technologies
-
University of California at Berkeley, Fall
-
N. Damrongplasit, Study of variability in advanced transistor technologies, in Electrical Engineering and Computer Sciences, University of California at Berkeley, Fall 2014
-
(2014)
Electrical Engineering and Computer Sciences
-
-
Damrongplasit, N.1
-
282
-
-
85055067590
-
Embedded analog technology
-
IEDM short course on System-On-a-Chip Technology, 5 Dec 1999
-
M. Vertregt, Embedded analog technology. IEDM short course on System-On-a-Chip Technology, 5 Dec 1999
-
-
-
Vertregt, M.1
-
283
-
-
0032164821
-
Modeling statistical dopant fluctuations in MOS transistors
-
P. Stolk et al., Modeling statistical dopant fluctuations in MOS transistors. IEEE Trans. Electron Devices 45(9), 1960-1971 (1998)
-
(1998)
IEEE Trans. Electron Devices
, vol.45
, Issue.9
, pp. 1960-1971
-
-
Stolk, P.1
-
285
-
-
85055067589
-
Leakage power optimization for 28 nm and beyond
-
EDN, 07 April 2014
-
A. Dadheech et al., Leakage power optimization for 28 nm and beyond. EDN, 07 April 2014
-
-
-
Dadheech, A.1
-
287
-
-
0034842175
-
Fast statistical timing analysis by probabilistic event propagation
-
Las Vegas, June
-
J.-J. Liou et al, Fast statistical timing analysis by probabilistic event propagation, in DAC 2001, Las Vegas, June 2001
-
(2001)
DAC 2001
-
-
Liou, J.-J.1
-
288
-
-
85055067593
-
Modeling and architectural simulations of the statistical static timing analysis of the non-gaussian variation sources for VLSI circuits
-
A.M. Baker, Y. Jiang, Modeling and architectural simulations of the statistical static timing analysis of the non-gaussian variation sources for VLSI circuits. Int. J. Sci. Res. Publ. 3(1), 1 (2013). ISSN:2250-3153
-
(2013)
Int. J. Sci. Res. Publ
, vol.3
, Issue.1
, pp. 1
-
-
Baker, A.M.1
Jiang, Y.2
-
289
-
-
84893502698
-
Critical paths selection and test cost reduction considering process variations
-
in 2013 22nd Asian Test Symposium, 18-21 Nov 2013
-
J. Chen, M. Tehranipoor, Critical paths selection and test cost reduction considering process variations, in 2013 22nd Asian Test Symposium, pp. 259-264, 18-21 Nov 2013
-
-
-
Chen, J.1
Tehranipoor, M.2
-
290
-
-
84893792261
-
Wire self-heating in supply lines on bulk-CMOS ICs'
-
ESSCIRC 2002, Digest of Technical Papers, Sept 2002
-
H.J.M. Veendrick, Wire self-heating in supply lines on bulk-CMOS ICs'. ESSCIRC 2002, Digest of Technical Papers, pp. 199-202, Sept 2002
-
-
-
Veendrick, H.J.M.1
-
291
-
-
0035872897
-
High-k dielectrics: current status and materials properties considerations
-
G.D. Wilk et al, High-k dielectrics: current status and materials properties considerations. J. Appl. Phys. 89(10), 5243-5275 (2001)
-
(2001)
J. Appl. Phys
, vol.89
, Issue.10
, pp. 5243-5275
-
-
Wilk, G.D.1
-
292
-
-
85055067591
-
Low-voltage hot-carrier issues in deep-sub-micron MOSFETs
-
Thesis, University of Munic
-
A. Kottantharayil, Low-voltage hot-carrier issues in deep-sub-micron MOSFETs. Thesis, University of Munic, 2001. http://137.193.200.177/ediss/kottantharayil-anil/inhalt.pdf
-
(2001)
-
-
Kottantharayil, A.1
-
293
-
-
0033889732
-
Device scaling effects on hot-carrier induced interface and oxide-trapped charge distributions in MOSFETs
-
S. Mahaptra et al., Device scaling effects on hot-carrier induced interface and oxide-trapped charge distributions in MOSFETs. IEEE Trans. Electron Devices 47(4), 789-796 (2000)
-
(2000)
IEEE Trans. Electron Devices
, vol.47
, Issue.4
, pp. 789-796
-
-
Mahaptra, S.1
-
294
-
-
84961589069
-
Understanding and modeling the temperature behavior of hot-carrier degradation in SiON nMOSFETs
-
S. Tyaginov et al., Understanding and modeling the temperature behavior of hot-carrier degradation in SiON nMOSFETs. IEEE Electron Device Lett. 37(1), 84-87 (2016)
-
(2016)
IEEE Electron Device Lett
, vol.37
, Issue.1
, pp. 84-87
-
-
Tyaginov, S.1
-
295
-
-
0037011553
-
Effect of nitrogen at SiO2-Si interface on reliability issues negative bias temperature instability and Fowler-Nordheim stress degradation
-
K. Kushida-Abdelghafar et al., Effect of nitrogen at SiO2-Si interface on reliability issues negative bias temperature instability and Fowler-Nordheim stress degradation. Appl. Phys. Lett. 81(23), 4362-4364 (2002)
-
(2002)
Appl. Phys. Lett
, vol.81
, Issue.23
, pp. 4362-4364
-
-
Kushida-Abdelghafar, K.1
-
296
-
-
0024735691
-
Interface state generation under long-term positive-bias temperature stress for a p+ poly gate MOS structure
-
Y. Hiruta et al., Interface state generation under long-term positive-bias temperature stress for a p+ poly gate MOS structure. IEEE Trans. Electron Devices 36, 1732 (1989)
-
(1989)
IEEE Trans. Electron Devices
, vol.36
, pp. 1732
-
-
Hiruta, Y.1
-
297
-
-
0035397517
-
The effect of fluorine on parametric and reliability in a 0.18 m 3.5/6.8 nm dual gate oxide CMOS technology
-
T.B. Hook et al., The effect of fluorine on parametric and reliability in a 0.18 m 3.5/6.8 nm dual gate oxide CMOS technology. IEEE Trans. Electron Devices 48(7), 1346 (2001)
-
(2001)
IEEE Trans. Electron Devices
, vol.48
, Issue.7
, pp. 1346
-
-
Hook, T.B.1
-
298
-
-
36449000462
-
Interface-trap generartion at ultrathin (4-6 nm) interfaces during negative-bias temperature aging
-
S. Ogawa et al., Interface-trap generartion at ultrathin (4-6 nm) interfaces during negative-bias temperature aging. J. Appl. Phys. 77(3), 1137-1148 (1995)
-
(1995)
J. Appl. Phys
, vol.77
, Issue.3
, pp. 1137-1148
-
-
Ogawa, S.1
-
301
-
-
85006747786
-
Impact of negative bias temperature instability on 6T CMOS SRAM cell performance
-
P. Rani et al., Impact of negative bias temperature instability on 6T CMOS SRAM cell performance. Int. J. Comput. Appl. (0975-8887) 128(12), 1-6 (2015)
-
(2015)
Int. J. Comput. Appl. (0975-8887)
, vol.128
, Issue.12
, pp. 1-6
-
-
Rani, P.1
-
302
-
-
84984650451
-
In-depth analysis of NBTI at 2X nm node DRAM, in 2016 IEEE 8th International Memory Workshop (IMW)
-
S. Han et al., In-depth analysis of NBTI at 2X nm node DRAM, in 2016 IEEE 8th International Memory Workshop (IMW) (2016)
-
(2016)
-
-
Han, S.1
-
303
-
-
84982096256
-
Fundamentals of Bias Temperature Instability in MOS Transistors
-
Springer Series in Advanced Microelectronics, Springer, New Delhi
-
S. Mahapatra (ed), Fundamentals of Bias Temperature Instability in MOS Transistors. Springer Series in Advanced Microelectronics (Springer, New Delhi, 2016). ISBN 978-81-322-2507-2
-
(2016)
-
-
Mahapatra, S.1
-
304
-
-
84923688215
-
Investigation of negative bias temperature instability dependence on fin width of silicon-on-insulator-fin-based field effect transistors
-
C.D. Young et al., Investigation of negative bias temperature instability dependence on fin width of silicon-on-insulator-fin-based field effect transistors. J. Appl. Phys. 117, 034501 (2015)
-
(2015)
J. Appl. Phys
, vol.117
, pp. 034501
-
-
Young, C.D.1
-
305
-
-
84990929695
-
Process optimizations for NBTI/PBTI for future replacement metal gate technologies
-
B. Linder et al., Process optimizations for NBTI/PBTI for future replacement metal gate technologies, in International Reliability Physics Symposium (2016)
-
(2016)
International Reliability Physics Symposium
-
-
Linder, B.1
-
307
-
-
80052640979
-
Modeling and suppression of latch-up, Ph. D. dissertation, Department of Electrical and Computer Engineering
-
University of Illinois at Urbana-Champaign, Urbana, Illinois
-
F. Farbiz, Modeling and suppression of latch-up, Ph. D. dissertation, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, 2010
-
(2010)
-
-
Farbiz, F.1
-
310
-
-
84883310382
-
Modeling and design guidelines for P guard rings in lightly doped CMOS substrates
-
M. Shen et al., Modeling and design guidelines for P guard rings in lightly doped CMOS substrates. IEEE Trans. Electron Devices 60(9), 2854-2861 (2013)
-
(2013)
IEEE Trans. Electron Devices
, vol.60
, Issue.9
, pp. 2854-2861
-
-
Shen, M.1
-
313
-
-
85055069708
-
Keeping your design files organized
-
SemiWiki.com, 07 Sept 2016
-
C.A. Chami, Keeping your design files organized, SemiWiki.com, 07 Sept 2016
-
-
-
Chami, C.A.1
-
314
-
-
84880990313
-
ESD in FinFET technologies: past learning and emerging challenges
-
2B.5.1-2B.5.8
-
J.-H. Lee et al., ESD in FinFET technologies: past learning and emerging challenges, in IEEE International Reliability Physics Symposium (IRPS) (2013), pp. 2B.5.1-2B.5.8
-
(2013)
IEEE International Reliability Physics Symposium (IRPS)
-
-
Lee, J.-H.1
-
315
-
-
0242366119
-
A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs
-
S. Wang et al., A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs, in International Test Conference, pp. 574-583 (2003)
-
(2003)
International Test Conference
, pp. 574-583
-
-
Wang, S.1
-
316
-
-
33749073610
-
DFM: worlds collide, then cooperate
-
June
-
L. Peters, DFM: worlds collide, then cooperate, in Semiconductor International, June 2005, www.semiconductor.net
-
(2005)
Semiconductor International
-
-
Peters, L.1
-
317
-
-
35648942292
-
DFM for advanced technology nodes: fabless view
-
P. Rabkin, DFM for advanced technology nodes: fabless view. Future Fab Int. 20 (2006)
-
(2006)
Future Fab Int
, vol.20
-
-
Rabkin, P.1
-
318
-
-
0141788780
-
Laser dicing of chip scale and silicon wafer scale packages
-
2003 IEEE/CPMT/SEMl Int'l Electronics Manufacturing Technology Symposium
-
T. Lizotte, Laser dicing of chip scale and silicon wafer scale packages, in 2003 IEEE/CPMT/SEMl Int'l Electronics Manufacturing Technology Symposium (2003)
-
(2003)
-
-
Lizotte, T.1
-
319
-
-
85019622691
-
Joint Electron Devices Engineering Councils
-
JEDEC, Joint Electron Devices Engineering Councils, www.jedec.org
-
-
-
-
321
-
-
85055067647
-
Spatial and temporal temperature variations in CMOS designs
-
EDA Publishing/THERMINIC
-
J.H.J. Janssen, H.J.M. Veendrick, Spatial and temporal temperature variations in CMOS designs, EDA Publishing/THERMINIC, 2009
-
(2009)
-
-
Janssen, J.H.J.1
Veendrick, H.J.M.2
-
322
-
-
4444341299
-
Thermal transient modeling and experimental validation in the European project PROFIT, IEEE Trans
-
H. Pape et al., Thermal transient modeling and experimental validation in the European project PROFIT, IEEE Trans. Compon. Packag. Technol. 27(3), 530-538 (2004)
-
(2004)
Compon. Packag. Technol
, vol.27
, Issue.3
, pp. 530-538
-
-
Pape, H.1
-
324
-
-
33745142195
-
Moore's law meets its match
-
R. Tummala, Moore's law meets its match. IEEE Spectr. 43, 38-43 (2006)
-
(2006)
IEEE Spectr
, vol.43
, pp. 38-43
-
-
Tummala, R.1
-
325
-
-
84862652741
-
Considerations for ultimate CMOS scaling
-
K.J. Kuhn, Considerations for ultimate CMOS scaling. IEEE Trans. Electron Devices 59(7), 1813-1828
-
IEEE Trans. Electron Devices
, vol.59
, Issue.7
, pp. 1813-1828
-
-
Kuhn, K.J.1
-
326
-
-
85055067842
-
Techniques for characterization and failure analysis of integrated circuits
-
Wiley, New York
-
K. de Kort, Techniques for characterization and failure analysis of integrated circuits, in Analysis of Microelectronic Materials and Devices (Wiley, New York, 1991)
-
(1991)
Analysis of Microelectronic Materials and Devices
-
-
De Kort, K.1
-
328
-
-
21944457725
-
Principles of thermal laser stimulation techniques
-
5th edn. ASM International, Materials Park
-
F. Beaudoin et al., Principles of thermal laser stimulation techniques, in Microelectronics Failure Analysis Desk Reference, 5th edn. (ASM International, Materials Park, 2005), pp. 417-425
-
(2005)
Microelectronics Failure Analysis Desk Reference
, pp. 417-425
-
-
Beaudoin, F.1
-
329
-
-
84932162758
-
Near-field scanning optical microscopy for through-silicon imaging and fault isolation of integrated circuits
-
Houston, Texas, USA, 9-13 Nov
-
R. Giridharagopal, Near-field scanning optical microscopy for through-silicon imaging and fault isolation of integrated circuits, in ISTFA 2014: Proceedings of the 40th International Symposium for Testing and Failure Analysis, Houston, Texas, USA, 9-13 Nov 2014
-
(2014)
ISTFA 2014: Proceedings of the 40th International Symposium for Testing and Failure Analysis
-
-
Giridharagopal, R.1
-
331
-
-
84892353691
-
-
Springer Science + Business Media, Inc., Boston
-
L.A. Giannuzzi, F.A. Stevie (eds.), Introduction to Focused Ion Beams; Instrumentation, Theory, Techniques and Practice (Springer Science + Business Media, Inc., Boston, 2005)
-
(2005)
Introduction to Focused Ion Beams; Instrumentation, Theory, Techniques and Practice
-
-
Giannuzzi, L.A.1
Stevie, F.A.2
-
332
-
-
85055067644
-
-
InTech Open Access Publishers/Institute for Solid State Electronics, Vienna University of Technology, Vienna
-
H.D. Wanzenboeck, S. Waid, Focused ion beam lithography, in Lithography, vol. 1 (InTech Open Access Publishers/Institute for Solid State Electronics, Vienna University of Technology, Vienna, 2012)
-
(2012)
Focused ion beam lithography, in Lithography, vol. 1
-
-
Wanzenboeck, H.D.1
Waid, S.2
-
333
-
-
85055067058
-
-
FEI, The V400ACE™Focused Ion Beam (FIB) system (2015), www.fei.com/products
-
(2015)
-
-
-
334
-
-
0036890592
-
Detecting signal-overshoots for reliability analysis in high-speed system-onchips
-
M. Nourani et al., Detecting signal-overshoots for reliability analysis in high-speed system-onchips. IEEE Trans. Reliab. 51(4), 494-504 (2002)
-
(2002)
IEEE Trans. Reliab
, vol.51
, Issue.4
, pp. 494-504
-
-
Nourani, M.1
-
335
-
-
18744370810
-
Circuits and techniques for high-resolution measurement of on-chip power supply noise
-
E. Alon et al., Circuits and techniques for high-resolution measurement of on-chip power supply noise. IEEE J. Solid-State Circuits 40(4), 820-828 (2005)
-
(2005)
IEEE J. Solid-State Circuits
, vol.40
, Issue.4
, pp. 820-828
-
-
Alon, E.1
-
336
-
-
39749162995
-
A 1-V 15±W high-precision temperature switch
-
Sept
-
D. Schinkel et al., A 1-V 15±W high-precision temperature switch, in Proceedings of the ESSCIRC, pp. 77-80, Sept 2001
-
(2001)
Proceedings of the ESSCIRC
, pp. 77-80
-
-
Schinkel, D.1
-
337
-
-
39749142777
-
A signal-integrity self-test concept for debugging nanometer CMOS ICs
-
Feb
-
V. Petrescu et al., A signal-integrity self-test concept for debugging nanometer CMOS ICs. ISSCC Digest of Technical Papers, pp. 544-545, Feb 2006
-
(2006)
ISSCC Digest of Technical Papers
, pp. 544-545
-
-
Petrescu, V.1
-
338
-
-
85055067059
-
-
Focused Ion Beam (FIB), Anysilicon (2015). http://anysilicon.com/focused-ion-beam-fib/
-
(2015)
-
-
-
339
-
-
85055069707
-
Semiconductors Industrial Associations, ITRS roadmap, 2013 update
-
Semiconductors Industrial Associations, ITRS roadmap, 2013 update, http://www.itrs.net
-
-
-
-
340
-
-
33746329247
-
Scalable high-speed analog circuit design
-
Kluwer Academic Publishers, Boston
-
M. Vertregt et al., Scalable high-speed analog circuit design, in 2001 American Academy of Cosmetic Dentistry (Kluwer Academic Publishers, Boston, 2002), pp 3-21
-
(2002)
2001 American Academy of Cosmetic Dentistry
, pp. 3-21
-
-
Vertregt, M.1
-
341
-
-
0030737851
-
A 0.25±m 0.9V 100MHz DSP core
-
M. Izumikawa et al., A 0.25±m 0.9V 100MHz DSP core. IEEE J. Solid-State 32(1), 52-61 (1997)
-
(1997)
IEEE J. Solid-State
, vol.32
, Issue.1
, pp. 52-61
-
-
Izumikawa, M.1
-
342
-
-
0030285492
-
A 0.9V, 150 MHz, 10mW, 4mm2, 2-D DCT core processor with variable threshold voltage scheme
-
T. Kuroda et al., A 0.9V, 150 MHz, 10mW, 4mm2, 2-D DCT core processor with variable threshold voltage scheme. IEEE J. Solid-State Circuits 1770-1779 (1996)
-
(1996)
IEEE J. Solid-State Circuits
, pp. 1770-1779
-
-
Kuroda, T.1
-
343
-
-
0031140867
-
Quantum-Mechanical modelling of electron tunnelling current from the inversion layer of ultra-thin-oxide in MOSFET's
-
S.H. Lo et al., Quantum-Mechanical modelling of electron tunnelling current from the inversion layer of ultra-thin-oxide in MOSFET's. IEEE Electron Device Lett. 18(5), 209-211 (1997)
-
(1997)
IEEE Electron Device Lett
, vol.18
, Issue.5
, pp. 209-211
-
-
Lo, S.H.1
-
344
-
-
84938785387
-
Market trends: rising costs of production limit availability of leading-edge Fabs'
-
17 Sept
-
B. Johnson et al., Market trends: rising costs of production limit availability of leading-edge Fabs', Gartner Report, 17 Sept 2012
-
(2012)
Gartner Report
-
-
Johnson, B.1
-
345
-
-
85055070584
-
Samsung investing $14.7 billion in new chip fabrication facility
-
6 Oct
-
J. Ribeiro, Samsung investing $14.7 billion in new chip fabrication facility. IDG News Service, 6 Oct 2014
-
(2014)
IDG News Service
-
-
Ribeiro, J.1
-
346
-
-
84962368796
-
Why migration to 20 nm bulk CMOS and 16/14 nm FinFETs is not a best approach for semiconductor industry
-
White Paper, International Business Strategies, Inc., January
-
H. Jones, Why migration to 20 nm bulk CMOS and 16/14 nm FinFETs is not a best approach for semiconductor industry, White Paper, International Business Strategies, Inc., January 2014
-
(2014)
-
-
Jones, H.1
-
347
-
-
85055067645
-
Microelectronics for systems-on-chips
-
Neuchâtel, Switzerland
-
C. Piguet, Microelectronics for systems-on-chips, in Coursebooks CSEM, Neuchâtel, Switzerland, 2015/2016
-
(2015)
in Coursebooks CSEM
-
-
Piguet, C.1
-
348
-
-
85055068540
-
Executive interview: bill bottoms talks about revamping the ITRS roadmap
-
12 Mar
-
F. von Trapp, Executive interview: bill bottoms talks about revamping the ITRS roadmap, 12 Mar 2015, http://www.3dincites.com/2015/03/executive-interview-bill-bottomstalks- revamping-itrs-roadmap/
-
(2015)
-
-
Von Trapp, F.1
|