-
1
-
-
0000793139
-
Cramming more components onto integrated circuits
-
Moore, G. (1965) Cramming more components onto integrated circuits. Electronics, 38(8), 114.
-
(1965)
Electronics
, vol.38
, Issue.8
, pp. 114
-
-
Moore, G.1
-
2
-
-
84857023274
-
The evolution of scaling from the homogeneous era to the heterogeneous era
-
December, IEDM
-
Bohr, M. (December 2011) The evolution of scaling from the homogeneous era to the heterogeneous era. IEDM.
-
(2011)
-
-
Bohr, M.1
-
3
-
-
84927686348
-
Challenges in3Dmemorymanufacturingandprocess integration(Micron)
-
December, IEDM
-
Chandrasekaran,N. (December2013) Challenges in3Dmemorymanufacturingandprocess integration(Micron).IEDM.
-
(2013)
-
-
Chandrasekaran, N.1
-
4
-
-
84924961400
-
Stable SRAM cell design for the 32 nm node and beyond, (IBM)
-
June, VLSI Technology Symposium
-
Chang, L. et al. (June 2005) Stable SRAM cell design for the 32 nm node and beyond, (IBM). VLSI Technology Symposium.
-
(2005)
-
-
Chang, L.1
-
5
-
-
0003649804
-
-
2nd edn, John Wiley & Sons, Ltd
-
Prince, B. (1995) Semiconductor Memories: A Handbook of Design, Manufacture, and Application, 2nd edn, John Wiley & Sons, Ltd.
-
(1995)
Semiconductor Memories: A Handbook of Design, Manufacture, and Application
-
-
Prince, B.1
-
6
-
-
84927688270
-
A polysilicon transistor technology for large capacity SRAMs
-
December, Hitachi, IEDM
-
Ikeda, S. et al. (December 1988) A polysilicon transistor technology for large capacity SRAMs, (Hitachi). IEDM.
-
(1988)
-
-
Ikeda, S.1
-
7
-
-
84927680476
-
Godson-3B1500: A 32 nm 1.35GHz 40W 172.8 GFLOPS 8-core processor
-
February, Chinese Academy of Science, Loongson Technology, ISSCC
-
Hu, W. et al. (February 2013) Godson-3B1500: A 32 nm 1.35GHz 40W 172.8 GFLOPS 8-core processor, (Chinese Academy of Science, Loongson Technology). ISSCC.
-
(2013)
-
-
Hu, W.1
-
8
-
-
4243799729
-
Sub 50-nm FinFET: PMOS
-
December, (University of California, Berkeley, Lawrence Berkeley National Laboratory). IEDM
-
Huang, X. et al. (December 1999) Sub 50-nm FinFET: PMOS, (University of California, Berkeley, Lawrence Berkeley National Laboratory). IEDM, p. 67.
-
(1999)
, pp. 67
-
-
Huang, X.1
-
9
-
-
84927675822
-
2 6TSRAM cell and advanced CMOS logic circuits
-
June, (Texas Instruments, Philips, IMEC). VLSI Technology Symposium
-
2 6TSRAM cell and advanced CMOS logic circuits, (Texas Instruments, Philips, IMEC). VLSI Technology Symposium.
-
(2005)
-
-
Witters, L.1
-
10
-
-
0003985877
-
-
John Wiley & Sons, Ltd, Figure 6.45
-
Prince, B. (1999) High Performance Memories, John Wiley & Sons, Ltd, Figure 6.45, p. 186.
-
(1999)
High Performance Memories
, pp. 186
-
-
Prince, B.1
-
11
-
-
80052667843
-
In-substrate-bitline sense amplifier with array-noisegating scheme for low-noise 4F2 DRAM array operable at 10 fF cell capacitance
-
June, (Hitachi). VLSI Circuits Symposium
-
Yanagawa, Y., Sekiguchi, T., Kotabe, A. et al. (June 2011) In-substrate-bitline sense amplifier with array-noisegating scheme for low-noise 4F2 DRAM array operable at 10 fF cell capacitance, (Hitachi). VLSI Circuits Symposium.
-
(2011)
-
-
Yanagawa, Y.1
Sekiguchi, T.2
Kotabe, A.3
-
12
-
-
77958016967
-
In-situ measurement of variability in 45-nm SOI embedded DRAM arrays
-
June, (IBM). VLSI Circuits Symposium
-
Agarwal, K., Hayes, J., Berth, J. et al. (June 2010) In-situ measurement of variability in 45-nm SOI embedded DRAM arrays, (IBM). VLSI Circuits Symposium.
-
(2010)
-
-
Agarwal, K.1
Hayes, J.2
Berth, J.3
-
13
-
-
77952114330
-
TM 32MB on-chip L3 cache
-
February, (IBM). ISSCC
-
TM 32MB on-chip L3 cache, (IBM). ISSCC.
-
(2010)
-
-
Barth, J.1
-
14
-
-
78650872254
-
A 45 nm SOI embedded DRAM macro for the POWER7TM processor 32 MByte on-chip L3 cache, (IBM)
-
Barth, J. et al. (2011) A 45 nm SOI embedded DRAM macro for the POWER7TM processor 32 MByte on-chip L3 cache, (IBM). IEEE Journal of Solid-State Circuits, 46(1), 64.
-
(2011)
IEEE Journal of Solid-State Circuits
, vol.46
, Issue.1
, pp. 64
-
-
Barth, J.1
-
15
-
-
19344375866
-
Embedded DRAM: Technology platform for the Blue Gene/L chip
-
2.3
-
Iyer, S.S. et al. (2005) Embedded DRAM: Technology platform for the Blue Gene/L chip. IBM Journal of Research and Development, 49(2.3), 333.
-
(2005)
IBM Journal of Research and Development
, vol.49
, pp. 333
-
-
Iyer, S.S.1
-
16
-
-
0015048661
-
Memory behavior in a floating-gate avalanche -injection MOS (FAMOS) structure, (Intel)
-
Frohman-Bentchkowsky, D. (1971) Memory behavior in a floating-gate avalanche -injection MOS (FAMOS) structure, (Intel). Applied Physics Letters, 18(8), 332.
-
(1971)
Applied Physics Letters
, vol.18
, Issue.8
, pp. 332
-
-
Frohman-Bentchkowsky, D.1
-
17
-
-
84927687038
-
A new flash E2PROM cell using triple polysilicon technology
-
December, (Toshiba). IEDM
-
Masuoka, F., Asano, M., Iwahashi, H. et al. (December 1984) A new flash E2PROM cell using triple polysilicon technology, (Toshiba). IEDM.
-
(1984)
-
-
Masuoka, F.1
Asano, M.2
Iwahashi, H.3
-
19
-
-
0014617507
-
An integrated metal-nitride-oxide-silicon (MNOS) memory, (Fairchild Semiconductor)
-
Frohman-Bentchkowsky, D. (1969) An integrated metal-nitride-oxide-silicon (MNOS) memory, (Fairchild Semiconductor). Proceedings of the IEEE, 57(6), 1190.
-
(1969)
Proceedings of the IEEE
, vol.57
, Issue.6
, pp. 1190
-
-
Frohman-Bentchkowsky, D.1
-
21
-
-
0024898314
-
Yield and reliability of MNOS EEPROM products, (Hitachi)
-
Kamigaki, Y. et al. (1989) Yield and reliability of MNOS EEPROM products, (Hitachi). IEEE Journal of Solid-State Circuits, 24(6), 1714.
-
(1989)
IEEE Journal of Solid-State Circuits
, vol.24
, Issue.6
, pp. 1714
-
-
Kamigaki, Y.1
-
22
-
-
0020708742
-
A low-voltage alterable EEPROM with metaloxide- nitride-oxide-semiconductor (MONOS) structures
-
(Electrotechnical Laboratory Ibaraki Japan, Citizen Watch Co.)
-
Suzuki, E., Hiraishi, H., Ishii, Kenichi, and Hayashi, Y. (1983) A low-voltage alterable EEPROM with metaloxide- nitride-oxide-semiconductor (MONOS) structures, (Electrotechnical Laboratory Ibaraki Japan, Citizen Watch Co.). IEEE Transactions on Education, ED-30(2), 122.
-
(1983)
IEEE Transactions on Education
, vol.ED-30
, Issue.2
, pp. 122
-
-
Suzuki, E.1
Hiraishi, H.2
Ishii, K.3
Hayashi, Y.4
-
23
-
-
84927687514
-
A 512kB MONOS type flash memory module embedded in a microcontroller
-
June, (Hitachi). VLSI Technology Symposium
-
Tanaka, T., Tanikawa, H., Yamaki, T., and Umeboto, Y. (June 2003) A 512kB MONOS type flash memory module embedded in a microcontroller, (Hitachi). VLSI Technology Symposium.
-
(2003)
-
-
Tanaka, T.1
Tanikawa, H.2
Yamaki, T.3
Umeboto, Y.4
-
24
-
-
84891629805
-
40-nm embedded split-gate MONOS (SG-MONOS) flash macros for automotive with 160-MHz random access for code and endurance over 10 M cycles for data at the junction temperature of 170 °C, (Renesas)
-
Kono, T. et al. (2014) 40-nm embedded split-gate MONOS (SG-MONOS) flash macros for automotive with 160-MHz random access for code and endurance over 10 M cycles for data at the junction temperature of 170 °C, (Renesas). IEEE Journal of Solid-State Circuits, 49(1), 154.
-
(2014)
IEEE Journal of Solid-State Circuits
, vol.49
, Issue.1
, pp. 154
-
-
Kono, T.1
-
25
-
-
84927685308
-
Spansion Launches MirrorBit(R) SPI Multi-I/O Flash Memory with Up to 40 MB/s Read Performance
-
Press release, May 20
-
Spansion (2009) Spansion Launches MirrorBit(R) SPI Multi-I/O Flash Memory with Up to 40 MB/s Read Performance. Press release, May 20.
-
(2009)
-
-
-
26
-
-
46049113542
-
Three dimensionally stacked NAND flash memory technology using stacking single crystal Si layers on ILD and TANOS structure for beyond 30 nm node
-
December, (Samsung). IEDM
-
Jung, S.-M. (December 2006) Three dimensionally stacked NAND flash memory technology using stacking single crystal Si layers on ILD and TANOS structure for beyond 30 nm node, (Samsung). IEDM.
-
(2006)
-
-
Jung, S.-M.1
-
27
-
-
84927677815
-
-
accessed 14 May 2014
-
Renesas (2014) RH850 Family (Automotive Only), http://www.renesas.com/products/mpumcu/rh850 (accessed 14 May 2014).
-
(2014)
RH850 Family (Automotive Only)
-
-
-
28
-
-
0023563047
-
New ultra high density EPROM and flash EEPORM with NAND structure cell
-
December, (Toshiba). IEDM
-
Masuoka, F., Momodomi, M., Iwata, Y., and Shirota, R. (December 1987) New ultra high density EPROM and flash EEPORM with NAND structure cell, (Toshiba). IEDM.
-
(1987)
-
-
Masuoka, F.1
Momodomi, M.2
Iwata, Y.3
Shirota, R.4
-
29
-
-
84927681235
-
Flash memory at a cross-road: Challenges & opportunities
-
20 May, (SanDisk). IMW
-
Quader, K.N. (20 May 2012) Flash memory at a cross-road: Challenges & opportunities, (SanDisk). IMW.
-
(2012)
-
-
Quader, K.N.1
-
30
-
-
34548817649
-
A novel nonvolatile memory with spin torque transfer magnetization switching: Spin RAM
-
December, (Sony). IEDM
-
Hosomi, M. et al. (December 2005) A novel nonvolatile memory with spin torque transfer magnetization switching: Spin RAM, (Sony). IEDM.
-
(2005)
-
-
Hosomi, M.1
-
31
-
-
84927686832
-
Taking Hold of Embedded Memory Management
-
(Grandis). EE Times (April 23)
-
Tabrizi, F. (2007) Taking Hold of Embedded Memory Management, (Grandis). EE Times (April 23).
-
(2007)
-
-
Tabrizi, F.1
-
32
-
-
84894912693
-
FinFET SONOS flash memory for embedded applications
-
December, (University of California Berkeley, Lawrence Berkley National Laboratory). IEDM
-
Xuan, P. et al. (December 2003) FinFET SONOS flash memory for embedded applications, (University of California Berkeley, Lawrence Berkley National Laboratory). IEDM.
-
(2003)
-
-
Xuan, P.1
-
33
-
-
84927684800
-
Damascene gate FinFET SONOS memory implemented on bulk silicon wafer
-
December, (Samsung). IEDM
-
Oh, C.W. et al. (December 2004) Damascene gate FinFET SONOS memory implemented on bulk silicon wafer, (Samsung). IEDM.
-
(2004)
-
-
Oh, C.W.1
-
34
-
-
84927681596
-
Hf-silicate inter-poly dielectric technology for sub 70 um body tied FinFET flash memory
-
June, (Samsung). VLSI Technology Symposium
-
Cho, B.S. et al. (June 2005) Hf-silicate inter-poly dielectric technology for sub 70 um body tied FinFET flash memory, (Samsung). VLSI Technology Symposium.
-
(2005)
-
-
Cho, B.S.1
-
35
-
-
84927677461
-
20 nm gate bulk-FinFET SONOS flash
-
December, (TSMC, National Chiao Tung University). IEDM
-
Hwang, J.R. et al. (December 2005) 20 nm gate bulk-FinFET SONOS flash, (TSMC, National Chiao Tung University). IEDM.
-
(2005)
-
-
Hwang, J.R.1
-
36
-
-
34250779941
-
Retention reliability of FinFET SONOS device
-
March, (Samsung). IRPS
-
Lee, J.J. et al. (March 2006) Retention reliability of FinFET SONOS device, (Samsung). IRPS.
-
(2006)
-
-
Lee, J.J.1
-
37
-
-
33646754062
-
Fully integrated SONOS flash memory cell array with BT (body tied)-FinFET structure, (Samsung)
-
Sung, S.K. et al. (2006) Fully integrated SONOS flash memory cell array with BT (body tied)-FinFET structure, (Samsung). IEEE Transactions on Nanotechnology, 5(3), 74.
-
(2006)
IEEE Transactions on Nanotechnology
, vol.5
, Issue.3
, pp. 74
-
-
Sung, S.K.1
-
38
-
-
84927686262
-
SONOS-type FinFET device using p+ poly-Si gate and high-k blocking dielectric integrated on cell array and GSL/SSL for multi-gigabit NAND flash memory
-
June, (Samsung). VLSI Technology Symposium
-
Sung, S.K. et al. (June 2006) SONOS-type FinFET device using p+ poly-Si gate and high-k blocking dielectric integrated on cell array and GSL/SSL for multi-gigabit NAND flash memory, (Samsung). VLSI Technology Symposium.
-
(2006)
-
-
Sung, S.K.1
-
39
-
-
36549087592
-
Paired FinFET charge trap flash memory for vertical high density storage
-
June, (Samsung). VLSI Technology Symposium
-
Kim, S. et al. (June 2006) Paired FinFET charge trap flash memory for vertical high density storage, (Samsung). VLSI Technology Symposium.
-
(2006)
-
-
Kim, S.1
-
40
-
-
51949096258
-
Vertical structure NAND flash array integration with paired FinFET multi-bit scheme for high-density NAND flash memory application
-
June, (Samsung). VLSI Technology Symposium
-
Koo, J.M. et al. (June 2008) Vertical structure NAND flash array integration with paired FinFET multi-bit scheme for high-density NAND flash memory application, (Samsung). VLSI Technology Symposium.
-
(2008)
-
-
Koo, J.M.1
-
41
-
-
84927676236
-
Understanding STI edge fringing field effect on the scaling of charge-trapping (CT) NAND flash and modeling of incremental step pulse programming (ISSP)
-
December, (Macronix). IEDM
-
Lue, H.T. et al. (December 2009) Understanding STI edge fringing field effect on the scaling of charge-trapping (CT) NAND flash and modeling of incremental step pulse programming (ISSP), (Macronix). IEDM.
-
(2009)
-
-
Lue, H.T.1
-
42
-
-
78649446478
-
Arch NAND flash memory array with improved virtual source/drain performance, (Seoul National University)
-
Kim, W. et al. (2010) Arch NAND flash memory array with improved virtual source/drain performance, (Seoul National University). IEEE Electron Device Letters, 31(12), 1374.
-
(2010)
IEEE Electron Device Letters
, vol.31
, Issue.12
, pp. 1374
-
-
Kim, W.1
-
43
-
-
84866889195
-
Nonplanar NiSi nanocrystal floating-gate memory based on a triangular-shaped Si nanowire array for extending nanocrystal memory scaling limit (University of California Riverside, Nanjing University)
-
Ren, J.J. et al. (2012) Nonplanar NiSi nanocrystal floating-gate memory based on a triangular-shaped Si nanowire array for extending nanocrystal memory scaling limit (University of California Riverside, Nanjing University). IEEE Electron Device Letters, 33(10), 1390.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.10
, pp. 1390
-
-
Ren, J.J.1
-
44
-
-
84866525720
-
Highly scalable STT-MRAM with 3-dimensional cell structure using in-plane magnetic anisotropy materials
-
June, (Samsung). VLSI Technology Symposium
-
Lee, S. et al. (June 2012) Highly scalable STT-MRAM with 3-dimensional cell structure using in-plane magnetic anisotropy materials, (Samsung). VLSI Technology Symposium.
-
(2012)
-
-
Lee, S.1
-
45
-
-
82955195055
-
Variability analysis of scaled poly-Si channel FinFETs and tri-gate flash memories for high density and low cost stacked 3D-memory application (AIST)
-
12 September, ESSDERC
-
Liu, Y.X. et al. (12 September 2011) Variability analysis of scaled poly-Si channel FinFETs and tri-gate flash memories for high density and low cost stacked 3D-memory application (AIST), ESSDERC, p. 203.
-
(2011)
, pp. 203
-
-
Liu, Y.X.1
-
46
-
-
84873560519
-
Experimental study of tri-gate SOI-FinFET flash memory
-
October, (AIST, Meiji University). SOI Conference
-
Liu, Y.X. et al. (October 2012) Experimental study of tri-gate SOI-FinFET flash memory, (AIST, Meiji University). SOI Conference.
-
(2012)
-
-
Liu, Y.X.1
-
47
-
-
50249092697
-
Highly scalable vertical double gate NOR flash memory
-
December, (Stanford University, Intel). IEDM
-
Cho, H., Kapur, P., Kalavade, P., and Saraswat, K.C. (December 2007) Highly scalable vertical double gate NOR flash memory, (Stanford University, Intel). IEDM.
-
(2007)
-
-
Cho, H.1
Kapur, P.2
Kalavade, P.3
Saraswat, K.C.4
-
48
-
-
77953132007
-
Advantages of the FinFET architecture in SONOS and nanocrystal memory devices
-
December, (CNR-IMM, STMicroelectronics, CEA-LETI). IEDM
-
Lombardo, S. et al. (December 2007) Advantages of the FinFET architecture in SONOS and nanocrystal memory devices, (CNR-IMM, STMicroelectronics, CEA-LETI). IEDM.
-
(2007)
-
-
Lombardo, S.1
-
49
-
-
58149494550
-
Enhancement of program speed in dopant-segregated Schottky-barrier (DSSB) FinFET SONOS for NAND-type flash memory, (KAIST, ETRI, National Nanofab Center Korea)
-
Choi, S.J. et al. (2009) Enhancement of program speed in dopant-segregated Schottky-barrier (DSSB) FinFET SONOS for NAND-type flash memory, (KAIST, ETRI, National Nanofab Center Korea). IEEE Electron Device Letters, 30(1), 78.
-
(2009)
IEEE Electron Device Letters
, vol.30
, Issue.1
, pp. 78
-
-
Choi, S.J.1
-
50
-
-
62549165139
-
High injection efficiency and low-voltage programming in a dopant-segregated Schottky barrier (DSSB) FinFET SONOS for NOR-type flash memory
-
Choi, S.J. et al. (2009) High injection efficiency and low-voltage programming in a dopant-segregated Schottky barrier (DSSB) FinFET SONOS for NOR-type flash memory. IEEE Electron Device Letters, 30(3), 265.
-
(2009)
IEEE Electron Device Letters
, vol.30
, Issue.3
, pp. 265
-
-
Choi, S.J.1
-
51
-
-
71049134026
-
Performance breakthrough in NOR flash memory with dopant-segregated Schottkybarrier (DSSB) SONOS devices
-
June, (KAIST). VLSI Technology Symposium
-
Choi, S.J. et al. (June 2009) Performance breakthrough in NOR flash memory with dopant-segregated Schottkybarrier (DSSB) SONOS devices, (KAIST). VLSI Technology Symposium.
-
(2009)
-
-
Choi, S.J.1
-
52
-
-
77955166011
-
P-channel nonvolatile flash memory with a dopant-segregated Schottky-barrier source/drain, (KAIST, ETRI)
-
Choi, S.J., Han, J.W., Moon, D.I. et al. (2010) P-channel nonvolatile flash memory with a dopant-segregated Schottky-barrier source/drain, (KAIST, ETRI). IEEE Transactions on Electron Devices, 57(8), 1737.
-
(2010)
IEEE Transactions on Electron Devices
, vol.57
, Issue.8
, pp. 1737
-
-
Choi, S.J.1
Han, J.W.2
Moon, D.I.3
-
53
-
-
68349144680
-
Independent double-gate fin SONOS flash memory fabricated with sidewall spacer patterning, (Samsung, Seoul National University)
-
Yun, J.G. et al. (2009) Independent double-gate fin SONOS flash memory fabricated with sidewall spacer patterning, (Samsung, Seoul National University). IEEE Transactions on Electron Devices, 56(8), 1721.
-
(2009)
IEEE Transactions on Electron Devices
, vol.56
, Issue.8
, pp. 1721
-
-
Yun, J.G.1
-
54
-
-
78650423353
-
Sub-50nm DG-TFT-SONOS-the ideal flash memory for monolithic 3-D integration
-
December, (Schiltron). IEDM
-
Walker, A.J. (December 2008) Sub-50nm DG-TFT-SONOS-the ideal flash memory for monolithic 3-D integration, (Schiltron). IEDM.
-
(2008)
-
-
Walker, A.J.1
-
55
-
-
80054880908
-
Read characteristics of independent double-gate poly-si nanowire SONOS devices, (National Chiao Tung University)
-
Lin, H.C., Lin, Z.M., Chen, W.C., and Huang, T.Y. (2011) Read characteristics of independent double-gate poly-si nanowire SONOS devices, (National Chiao Tung University). IEEE Transactions on Electron Devices, 58(11), 3771.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.11
, pp. 3771
-
-
Lin, H.C.1
Lin, Z.M.2
Chen, W.C.3
Huang, T.Y.4
-
56
-
-
77957892932
-
Investigations of performance enhancement in a poly-Si nanowire FET featuring independent double-gated configuration and its nonvolatile memory applications
-
June, (National Chiao Tung University, National Nano Device Laboratories). VLSI Technology Symposium
-
Chen, W.C., Hsu, H.H., Chang, Y.C. et al. (June 2010) Investigations of performance enhancement in a poly-Si nanowire FET featuring independent double-gated configuration and its nonvolatile memory applications, (National Chiao Tung University, National Nano Device Laboratories). VLSI Technology Symposium.
-
(2010)
-
-
Chen, W.C.1
Hsu, H.H.2
Chang, Y.C.3
-
57
-
-
34249777792
-
Embedded TFT NAND-type nonvolatile memory in panel, (ITRI, STAR-NTHU)
-
Chen, H.T., Hsieh, S.I., Lin, C.J., and King, Y.C. (2007) Embedded TFT NAND-type nonvolatile memory in panel, (ITRI, STAR-NTHU). IEEE Electron Device Letters, 28(6), 499.
-
(2007)
IEEE Electron Device Letters
, vol.28
, Issue.6
, pp. 499
-
-
Chen, H.T.1
Hsieh, S.I.2
Lin, C.J.3
King, Y.C.4
-
58
-
-
79959318485
-
Investigation of ultra thin polycrystalline silicon channel for vertical NAND flash
-
April, (Samsung). IRPS
-
Kim, B. et al. (April 2011) Investigation of ultra thin polycrystalline silicon channel for vertical NAND flash, (Samsung). IRPS.
-
(2011)
-
-
Kim, B.1
-
59
-
-
79960904129
-
Novel dielectric-engineered trapping-charge poly-Si TFT memory with a TiN-alumina-nitride-vacuum-silicon structure, (National Chiao Tung University)
-
Wu, C.Y., Liu, Y.T., Liao, T.C. et al. (2011) Novel dielectric-engineered trapping-charge poly-Si TFT memory with a TiN-alumina-nitride-vacuum-silicon structure, (National Chiao Tung University). IEEE Electron Device Letters, 32(8), 1095.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.8
, pp. 1095
-
-
Wu, C.Y.1
Liu, Y.T.2
Liao, T.C.3
-
60
-
-
84862959549
-
3/graphene charge-trap memory devices, (Seoul University)
-
3/graphene charge-trap memory devices, (Seoul University). Applied Physics Letters, 100(2), 023109.
-
(2012)
Applied Physics Letters
, vol.100
, Issue.2
, pp. 023109
-
-
Lee, S.1
Song, E.B.2
Kim, S.3
-
61
-
-
77957583817
-
Highly scalable vertical bandgap-engineered NAND flash memory
-
June, (Seoul National University). Device Research Conference
-
Cho, S. et al. (June 2010) Highly scalable vertical bandgap-engineered NAND flash memory, (Seoul National University). Device Research Conference.
-
(2010)
-
-
Cho, S.1
-
62
-
-
79151483114
-
A charge trap folded NAND flash memory device with band-gap-engineered storage node, (Seoul National University, Stanford University)
-
Cho, S. et al. (2011) A charge trap folded NAND flash memory device with band-gap-engineered storage node, (Seoul National University, Stanford University). IEEE Transactions on Electron Devices, 58(2), 288.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.2
, pp. 288
-
-
Cho, S.1
-
63
-
-
34247638062
-
A high-performance body-tied FinFET bandgap engineered SONOS (BE-SONOS) for NAND-type flash memory, (Macronix)
-
Hsu, T.H. et al. (2007) A high-performance body-tied FinFET bandgap engineered SONOS (BE-SONOS) for NAND-type flash memory, (Macronix). IEEE Electron Device Letters, 28(5), 443.
-
(2007)
IEEE Electron Device Letters
, vol.28
, Issue.5
, pp. 443
-
-
Hsu, T.H.1
-
64
-
-
84856280225
-
Nonvolatile poly-Si TFT charge-trap flash memory with engineered tunnel barrier, (Kwangwoon University)
-
You, H.W. and Cho, W.J. (2012) Nonvolatile poly-Si TFT charge-trap flash memory with engineered tunnel barrier, (Kwangwoon University). IEEE Electron Device Letters, 33(2), 170.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.2
, pp. 170
-
-
You, H.W.1
Cho, W.J.2
-
65
-
-
47249144551
-
A multi-layer stackable thin-film transistor (TFT) NAND-type flash memory
-
December, (Macronix). IEDM
-
Lai, E.K. et al. (December 2006) A multi-layer stackable thin-film transistor (TFT) NAND-type flash memory, (Macronix). IEDM.
-
(2006)
-
-
Lai, E.K.1
-
66
-
-
84927683388
-
BE-SONOS: A bandgap engineered SONOS with excellent performance and reliability
-
December, (Macronix). IEDM
-
Lue, H.T. et al. (December 2005) BE-SONOS: A bandgap engineered SONOS with excellent performance and reliability, (Macronix). IEDM.
-
(2005)
-
-
Lue, H.T.1
-
67
-
-
84927684336
-
Reliability and processing effects of bandgap engineered SONOS (BE-SONOS) flash memory
-
April, (Macronix). IRPS
-
Wang, S.Y. et al. (April 2007) Reliability and processing effects of bandgap engineered SONOS (BE-SONOS) flash memory, (Macronix). IRPS.
-
(2007)
-
-
Wang, S.Y.1
-
68
-
-
77957859786
-
A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND flash using junction-free buried channel BE-SONOS device
-
June, (Macronix). VLSI Technology Symposium
-
Lue, H.T. et al. (June 2010) A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND flash using junction-free buried channel BE-SONOS device, (Macronix). VLSI Technology Symposium.
-
(2010)
-
-
Lue, H.T.1
-
69
-
-
84876140009
-
Modeling the variability caused by random grain boundary and trap-location induced asymmetrical read behavior for a tight-pitch vertical gate 3D NAND flash memory using double-gate thinfilm transistor (TFT) device
-
December, (Macronix). IEDM
-
Hsaio, Y.H. et al. (December 2012) Modeling the variability caused by random grain boundary and trap-location induced asymmetrical read behavior for a tight-pitch vertical gate 3D NAND flash memory using double-gate thinfilm transistor (TFT) device, (Macronix). IEDM.
-
(2012)
-
-
Hsaio, Y.H.1
-
70
-
-
84863379196
-
Stacked gated twin-bit (SGTB) SONOS memory device for high-density flash memory, (Seoul National University, Stanford University, Samsung)
-
Shim, W.B. et al. (2012) Stacked gated twin-bit (SGTB) SONOS memory device for high-density flash memory, (Seoul National University, Stanford University, Samsung). IEEE Transactions on Nanotechnology, 11(2), 307.
-
(2012)
IEEE Transactions on Nanotechnology
, vol.11
, Issue.2
, pp. 307
-
-
Shim, W.B.1
-
71
-
-
84927677105
-
Highly area efficient and cost effective double stacked S3 (stacked single-crystal Si) peripheral CMOS SSTFT and SRAM cell technology for 512Mbit density SRAM
-
December, (Samsung). IEDM
-
Jung, S.M. et al. (December 2004) Highly area efficient and cost effective double stacked S3 (stacked single-crystal Si) peripheral CMOS SSTFT and SRAM cell technology for 512Mbit density SRAM, (Samsung). IEDM.
-
(2004)
-
-
Jung, S.M.1
-
72
-
-
33644995313
-
2 cell and doubly stacked SSTFT cell transistors for ultra high density and high speed applications
-
June, VLSI Technology Symposium
-
2 cell and doubly stacked SSTFT cell transistors for ultra high density and high speed applications. VLSI Technology Symposium.
-
(2005)
-
-
Jung, S.M.1
-
73
-
-
76349107581
-
A 500-MHz DDR high-performance 72-Mb 3-D SRAM fabricated with laser-induced epitaxial c-Si growth technology for a stand-alone and embedded memory application, (Samsung)
-
Jung, S.M., Lim, H., Kwak, K.H., and Kim, K. (2010) A 500-MHz DDR high-performance 72-Mb 3-D SRAM fabricated with laser-induced epitaxial c-Si growth technology for a stand-alone and embedded memory application, (Samsung). IEEE Transactions on Electron Devices, 57(2), 474.
-
(2010)
IEEE Transactions on Electron Devices
, vol.57
, Issue.2
, pp. 474
-
-
Jung, S.M.1
Lim, H.2
Kwak, K.H.3
Kim, K.4
-
74
-
-
47249132839
-
High speed and highly cost effective 72 Mbit density S3 SRAM technology with doubly stacked Si layers, peripheral only CoSix layers and tungsten shunt W/L scheme for standalone and embedded memory
-
June, (Samsung). VLSI Technology Symposium
-
Jung, S.M. et al. (June 2007) High speed and highly cost effective 72 Mbit density S3 SRAM technology with doubly stacked Si layers, peripheral only CoSix layers and tungsten shunt W/L scheme for standalone and embedded memory, (Samsung). VLSI Technology Symposium.
-
(2007)
-
-
Jung, S.M.1
-
75
-
-
47249153487
-
Laser-induced epitaxial growth (LEG) technology for high density 3-D stacked memory with high productivity
-
June, (Samsung). VLSI Technology Symposium
-
Son, Y.H. et al. (June 2007) Laser-induced epitaxial growth (LEG) technology for high density 3-D stacked memory with high productivity, (Samsung). VLSI Technology Symposium.
-
(2007)
-
-
Son, Y.H.1
-
76
-
-
49549090007
-
A 100 nm double-stacked 500 MHz 72 Mb separate I/O synchronous SRAM with automatic cell-bias scheme and adaptive block redundancy
-
February, (Samsung), ISSCC
-
Sohn, K. (February 2008) A 100 nm double-stacked 500 MHz 72 Mb separate I/O synchronous SRAM with automatic cell-bias scheme and adaptive block redundancy, (Samsung), ISSCC.
-
(2008)
-
-
Sohn, K.1
-
77
-
-
46049113542
-
Three dimensionally stacked NAND flash memory technology using stacking single crystal Si layers on ILD and TANOS structure for beyond 30 nm node
-
December, (Samsung). IEDM
-
Jung, S.M. (December 2006) Three dimensionally stacked NAND flash memory technology using stacking single crystal Si layers on ILD and TANOS structure for beyond 30 nm node, (Samsung). IEDM.
-
(2006)
-
-
Jung, S.M.1
-
78
-
-
49549094516
-
A 45 nm 4-Gb 3-dimensional double-stacked multi-level NAND flash memory with shared bit-line structure
-
February, (Samsung). ISSCC
-
Park, K.T. et al. (February 2008) A 45 nm 4-Gb 3-dimensional double-stacked multi-level NAND flash memory with shared bit-line structure, (Samsung). ISSCC.
-
(2008)
-
-
Park, K.T.1
-
79
-
-
58149234981
-
A fully performance compatible 45 nm 4-gigabit three dimensional double-stacked multilevel NAND flash memory with shared bit-line structure, (Samsung)
-
Park, K.T. et al. (2009) A fully performance compatible 45 nm 4-gigabit three dimensional double-stacked multilevel NAND flash memory with shared bit-line structure, (Samsung). IEEE Journal of Solid-State Circuits, 44(1), 208.
-
(2009)
IEEE Journal of Solid-State Circuits
, vol.44
, Issue.1
, pp. 208
-
-
Park, K.T.1
-
80
-
-
43549111947
-
Gate-all-around twin silicon nanowire SONOS memory
-
June, (Samsung). VLSI Technology Symposium, p. 142
-
Suk, S.D. et al. (June 2007) Gate-all-around twin silicon nanowire SONOS memory, (Samsung). VLSI Technology Symposium, p. 142.
-
(2007)
-
-
Suk, S.D.1
-
81
-
-
84927683082
-
Trap layer engineered gate-all-around vertically stacked twin Si-nanowire nonvolatile memory
-
December, (IME Singapore, NUS). IEDM
-
Fu, J. et al. (December 2007) Trap layer engineered gate-all-around vertically stacked twin Si-nanowire nonvolatile memory, (IME Singapore, NUS). IEDM.
-
(2007)
-
-
Fu, J.1
-
82
-
-
43549116120
-
Si-nanowire based gate-all-around nonvolatile SONOS memory cell, (NUS, IME-A*STAR, University of Bologna)
-
Fu, J. et al. (2008) Si-nanowire based gate-all-around nonvolatile SONOS memory cell, (NUS, IME-A*STAR, University of Bologna). IEEE Electron Device Letters, 29(5), 518.
-
(2008)
IEEE Electron Device Letters
, vol.29
, Issue.5
, pp. 518
-
-
Fu, J.1
-
83
-
-
84927675238
-
Gate-all-around single silicon nanowire MOSFET with 7 nm width for SONOS NAND flash memory
-
June, (Samsung). VLSI Technology Symposium
-
Yeo, K.H. et al. (June 2008) Gate-all-around single silicon nanowire MOSFET with 7 nm width for SONOS NAND flash memory, (Samsung). VLSI Technology Symposium.
-
(2008)
-
-
Yeo, K.H.1
-
84
-
-
84927677651
-
Si-nanowire TAHOS (TaN/Al2O3/HfO2/SiO2/Si) nonvolatile memory cell
-
September 15, (A*STAR, NUS). ESSDIRC
-
Fu, J., Singh, N., Yang, B. et al (September 15, 2008) Si-nanowire TAHOS (TaN/Al2O3/HfO2/SiO2/Si) nonvolatile memory cell, (A*STAR, NUS). ESSDIRC.
-
(2008)
-
-
Fu, J.1
Singh, N.2
Yang, B.3
-
85
-
-
67649401723
-
Integration of high-k dielectrics and metal gate on gate-all-around Si-nanowire-based architecture for high-speed nonvolatile charge-trapping memory, (A*STAR, NUS)
-
Fu, J., Singh, N., Zhu, C. et al. (2009) Integration of high-k dielectrics and metal gate on gate-all-around Si-nanowire-based architecture for high-speed nonvolatile charge-trapping memory, (A*STAR, NUS). IEEE Electron Device Letters., 30(6), 662.
-
(2009)
IEEE Electron Device Letters.
, vol.30
, Issue.6
, pp. 662
-
-
Fu, J.1
Singh, N.2
Zhu, C.3
-
86
-
-
84962023859
-
th shift after erase and its impact on 2D/3D structure charge trap flash memory cell operations
-
December, (KAIST, Hynix). IEDM
-
th shift after erase and its impact on 2D/3D structure charge trap flash memory cell operations, (KAIST, Hynix). IEDM.
-
(2012)
-
-
Park, J.K.1
-
87
-
-
80052086745
-
Semi-analytical model for the transient operation of gate-all-around charge-trap memories, (Politecnico di Milano, Micron Technology)
-
Amoroso, S.M., Compagnoni, C.M., Mauri, A. et al. (2011) Semi-analytical model for the transient operation of gate-all-around charge-trap memories, (Politecnico di Milano, Micron Technology). IEEE Transactions on Electron Devices, 58(9), 3116.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.9
, pp. 3116
-
-
Amoroso, S.M.1
Compagnoni, C.M.2
Mauri, A.3
-
88
-
-
85027929968
-
Nonvolatile memory by all-around-gate junctionless transistor composed of silicon nanowire on bulk substrate, (KAIST)
-
Choi, S.J. et al. (2011) Nonvolatile memory by all-around-gate junctionless transistor composed of silicon nanowire on bulk substrate, (KAIST). IEEE Electron Device Letters, 32(5), 602.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.5
, pp. 602
-
-
Choi, S.J.1
-
89
-
-
80052689784
-
A novel junctionless all-around-gate SONOS device with a quantum nanowire on a bulk substrate for 3D stack NAND flash memory
-
June, (KAIST). VLSI Technology Symposium
-
Choi, S.J., Moon, D.I., Duate, J.P. et al. (June 2011) A novel junctionless all-around-gate SONOS device with a quantum nanowire on a bulk substrate for 3D stack NAND flash memory, (KAIST). VLSI Technology Symposium.
-
(2011)
-
-
Choi, S.J.1
Moon, D.I.2
Duate, J.P.3
-
90
-
-
62549097281
-
Polycrystalline Si nanowire SONOS nonvolatile memory cell fabricated on a gate-all-around (GAA) channel architecture, (A*STAR, NUS)
-
Fu, J., Jiang, Y., Singh, N. et al. (2009) Polycrystalline Si nanowire SONOS nonvolatile memory cell fabricated on a gate-all-around (GAA) channel architecture, (A*STAR, NUS). IEEE Electron Device Letters, 30(3), 246.
-
(2009)
IEEE Electron Device Letters
, vol.30
, Issue.3
, pp. 246
-
-
Fu, J.1
Jiang, Y.2
Singh, N.3
-
91
-
-
79952041184
-
Impacts of multiple-gated configuration on the characteristics of poly-Si nanowire SONOS devices, (National Chiao Tung University)
-
Hsu, H.H., Lin, H.C., Luo, C.W. et al. (2011) Impacts of multiple-gated configuration on the characteristics of poly-Si nanowire SONOS devices, (National Chiao Tung University). IEEE Transactions on Electron Devices, 58 (3), 641.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.3
, pp. 641
-
-
Hsu, H.H.1
Lin, H.C.2
Luo, C.W.3
-
92
-
-
77649180955
-
Electric-field enhancement of a gate-all-around nanowire thin-film transistor memory, (National Chiao Tung University)
-
Huang, P.C., Chen, L.A., and Sheu, J.T. (2010) Electric-field enhancement of a gate-all-around nanowire thin-film transistor memory, (National Chiao Tung University). IEEE Electron Device Letters, 31(3), 216.
-
(2010)
IEEE Electron Device Letters
, vol.31
, Issue.3
, pp. 216
-
-
Huang, P.C.1
Chen, L.A.2
Sheu, J.T.3
-
93
-
-
79959530364
-
Impacts of nanocrystal location on the operation of trap-layerengineered poly-Si nanowired gate-all-around SONOS memory devices, (National Chiao Tung University, National Nano Device Laboratory)
-
Luo, C.W., Lin, H.C., Lee, K.H. et al. (2011) Impacts of nanocrystal location on the operation of trap-layerengineered poly-Si nanowired gate-all-around SONOS memory devices, (National Chiao Tung University, National Nano Device Laboratory). IEEE Transactions on Electron Devices, 58(7), 1879.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.7
, pp. 1879
-
-
Luo, C.W.1
Lin, H.C.2
Lee, K.H.3
-
94
-
-
84874644920
-
A novel charge-trapping-type memory with gate-all-around poly-si nanowire and HfAlO trapping layer, (National Chiao Tung University)
-
Lee, K.H., Lin, H.C., and Huang, T.Y. (2013) A novel charge-trapping-type memory with gate-all-around poly-si nanowire and HfAlO trapping layer, (National Chiao Tung University). IEEE Electron Device Letters, 34(3), 393.
-
(2013)
IEEE Electron Device Letters
, vol.34
, Issue.3
, pp. 393
-
-
Lee, K.H.1
Lin, H.C.2
Huang, T.Y.3
-
95
-
-
79955534585
-
Vertical-Si-nanowire-based nonvolatile memory devices with improved performance and reduced process complexity, (Nanyang Technical University, A*STAR, GlobalFoundries)
-
Sun, Y. et al. (2011) Vertical-Si-nanowire-based nonvolatile memory devices with improved performance and reduced process complexity, (Nanyang Technical University, A*STAR, GlobalFoundries). IEEE Transactions on Electron Devices, 51(5), 1329.
-
(2011)
IEEE Transactions on Electron Devices
, vol.51
, Issue.5
, pp. 1329
-
-
Sun, Y.1
-
96
-
-
84927685215
-
Junction-less stackable SONOS memory realized on vertical-Si-nanowire for 3-D application
-
May 22, (A*STAR, Nanyang Technical University, GlobalFoundries). IMW
-
Sun, Y. et al (May 22, 2011) Junction-less stackable SONOS memory realized on vertical-Si-nanowire for 3-D application, (A*STAR, Nanyang Technical University, GlobalFoundries). IMW.
-
(2011)
-
-
Sun, Y.1
-
97
-
-
79957621507
-
Junctionless vertical-Si-nanowire-hannel-based SONOS memory with 2-bit storage per cell, (Nanyang Technical University, A*STAR, GlobalFoundries)
-
Sun, Y., Yu, H.Y., Singh, N. et al. (2011) Junctionless vertical-Si-nanowire-hannel-based SONOS memory with 2-bit storage per cell, (Nanyang Technical University, A*STAR, GlobalFoundries). IEEE Electron Device Letters, 32(6), 725.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.6
, pp. 725
-
-
Sun, Y.1
Yu, H.Y.2
Singh, N.3
-
98
-
-
84876099969
-
A new erase saturation issue in cylindrical junction-less charge-trap memory arrays
-
December, (Politecnico di Milano, IFN-CNR). IEDM
-
Maconi, A., Compagnoni, C.M., Spinelli, A.S., and Lacaita, A.L. (December 2012) A new erase saturation issue in cylindrical junction-less charge-trap memory arrays, (Politecnico di Milano, IFN-CNR). IEDM.
-
(2012)
-
-
Maconi, A.1
Compagnoni, C.M.2
Spinelli, A.S.3
Lacaita, A.L.4
-
99
-
-
84879896166
-
New erase constraint for the junction-less charge-trap memory array in cylindrical geometry, (Politecnico di Milano, Con. Naz. de Ricerche Milano)
-
Maconi, A., Compagnoni, C.M., Spinelli, A.S., and Lacaita, A.L. (2013) New erase constraint for the junction-less charge-trap memory array in cylindrical geometry, (Politecnico di Milano, Con. Naz. de Ricerche Milano). IEEE Transactions on Electron Devices, 60(7), 2203.
-
(2013)
IEEE Transactions on Electron Devices
, vol.60
, Issue.7
, pp. 2203
-
-
Maconi, A.1
Compagnoni, C.M.2
Spinelli, A.S.3
Lacaita, A.L.4
-
100
-
-
84881013958
-
Improved erasing speed in junctionless flash memory device by HfO2/Si3N4 stacked trapping layer, (NTHU, Naional. Nano Device Laboatories)
-
Chen, C.Y., Chang-Liao, K.S., Wu, K.T., and Wang, T.K. (2013) Improved erasing speed in junctionless flash memory device by HfO2/Si3N4 stacked trapping layer, (NTHU, Naional. Nano Device Laboatories). IEEE Electron Device Letters, 34(8), 993.
-
(2013)
IEEE Electron Device Letters
, vol.34
, Issue.8
, pp. 993
-
-
Chen, C.Y.1
Chang-Liao, K.S.2
Wu, K.T.3
Wang, T.K.4
-
101
-
-
56649122022
-
Si, SiGe nanowire devices by top-down technology and their applications, (A*STAR, IIT, Silterra)
-
Singh, N. et al. (2008) Si, SiGe nanowire devices by top-down technology and their applications, (A*STAR, IIT, Silterra). IEEE Transactions on Electron Devices, 55(11), 3107.
-
(2008)
IEEE Transactions on Electron Devices
, vol.55
, Issue.11
, pp. 3107
-
-
Singh, N.1
-
102
-
-
64549095883
-
Novel Si-based nanowire devices: Will they serve ultimate MOSFET's scaling or ultimate hybrid integration?
-
December, (CEA-LETI, Minetec). IEDM
-
Ernest, T. et al. (December 2008) Novel Si-based nanowire devices: Will they serve ultimate MOSFET's scaling or ultimate hybrid integration?, (CEA-LETI, Minetec). IEDM.
-
(2008)
-
-
Ernest, T.1
-
103
-
-
77957913604
-
A stacked SONOS technology, up to 4 levels and 6 μm crystalline nanowires, with gate-all-around or independent gates (Φ-Flash), suitable for full 3D integration
-
December, (CEA-LETI, MINATEC, IMEPLAHC, INPG-MINATEC), IEDM
-
Hubert, A. (December 2009) A stacked SONOS technology, up to 4 levels and 6 μm crystalline nanowires, with gate-all-around or independent gates (Φ-Flash), suitable for full 3D integration, (CEA-LETI, MINATEC, IMEPLAHC, INPG-MINATEC), IEDM.
-
(2009)
-
-
Hubert, A.1
-
104
-
-
84927677402
-
In-depth analysis of 3D silicon nanowire SONOS memory characteristics by TCAD simulations
-
May 16, (EA-LETI MINATEC, IMPE-LAHC, INP-MINATEC). IMW
-
Nowak, E (May 16, 2010) In-depth analysis of 3D silicon nanowire SONOS memory characteristics by TCAD simulations, (EA-LETI MINATEC, IMPE-LAHC, INP-MINATEC). IMW.
-
(2010)
-
-
Nowak, E.1
-
105
-
-
79953086976
-
Single-crystalline Si stacked array (STAR) NAND flash memory, (Samsung, Seoul National University)
-
Yun, J.G. et al. (2011) Single-crystalline Si stacked array (STAR) NAND flash memory, (Samsung, Seoul National University). IEEE Transactions on Electron Devices, 58(4), 1006.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.4
, pp. 1006
-
-
Yun, J.G.1
-
106
-
-
79959491826
-
Layer selection by erase (LASER) with an etch-through-spacer technique in a bit-line stacked 3-D NAND flash memory array, (Samsung, Seoul National University)
-
Yun, J.G., Park, S.H., and Park, B.G. (2011) Layer selection by erase (LASER) with an etch-through-spacer technique in a bit-line stacked 3-D NAND flash memory array, (Samsung, Seoul National University). IEEE Transactions on Electron Devices, 58(7), 1892.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.7
, pp. 1892
-
-
Yun, J.G.1
Park, S.H.2
Park, B.G.3
-
107
-
-
84855464545
-
Three-dimensional NAND flash architecture design based on single-crystalline stacked array, (Seoul National University, Samsung)
-
Kim, Y. et al. (2012) Three-dimensional NAND flash architecture design based on single-crystalline stacked array, (Seoul National University, Samsung). IEEE Transactions on Electron Devices, 50(1), 35.
-
(2012)
IEEE Transactions on Electron Devices
, vol.50
, Issue.1
, pp. 35
-
-
Kim, Y.1
-
108
-
-
84881026530
-
Three-dimensional NAND flash memory based on single-crystalline channel stacked array
-
August, (Samsung, Seoul National University)
-
Kim, Y., Kang, M., Park, S.H., and Park, B.G. (August 2013) Three-dimensional NAND flash memory based on single-crystalline channel stacked array, (Samsung, Seoul National University). IEEE Electron Device Letters, 34 (8), 990.
-
(2013)
IEEE Electron Device Letters
, vol.34
, Issue.8
, pp. 990
-
-
Kim, Y.1
Kang, M.2
Park, S.H.3
Park, B.G.4
-
109
-
-
68349116131
-
Vertical-Si-nanowire SONOS memory for ultrahigh-density application, (A*STAR, Nanyang Technical University)
-
Chen, M. et al. (2009) Vertical-Si-nanowire SONOS memory for ultrahigh-density application, (A*STAR, Nanyang Technical University). IEEE Electron Device Letters, 30(8), 879.
-
(2009)
IEEE Electron Device Letters
, vol.30
, Issue.8
, pp. 879
-
-
Chen, M.1
-
110
-
-
77951878360
-
Multibit programmable flash memory realized on vertical Si nanowire channel, (Nanyang Technical University, A*STAR)
-
Sun, Y., Yu, H.Y., Singh, N. et al. (2010) Multibit programmable flash memory realized on vertical Si nanowire channel, (Nanyang Technical University, A*STAR). IEEE Electron Device Letters, 31(5), 390.
-
(2010)
IEEE Electron Device Letters
, vol.31
, Issue.5
, pp. 390
-
-
Sun, Y.1
Yu, H.Y.2
Singh, N.3
-
111
-
-
80055020583
-
Vertically stacked and independently controlled twin-gate MOSFETs on a single Si nanowire, (A*STAR, University of California Santa Barbara)
-
Li, X., Chen, Z., Shen, N. et al. (2011) Vertically stacked and independently controlled twin-gate MOSFETs on a single Si nanowire, (A*STAR, University of California Santa Barbara). IEEE Electron Device Letters, 32(11), 1492.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.11
, pp. 1492
-
-
Li, X.1
Chen, Z.2
Shen, N.3
-
112
-
-
84927675915
-
Demonstration of memory string with stacked junction-less SONOS realized on vertical silicon nanowire
-
December, (A*STAR, Nanyang Technical University, Peking University, GlobalFoundries). IEDM
-
Sun, Y. (December 2011) Demonstration of memory string with stacked junction-less SONOS realized on vertical silicon nanowire, (A*STAR, Nanyang Technical University, Peking University, GlobalFoundries). IEDM.
-
(2011)
-
-
Sun, Y.1
-
113
-
-
77949413257
-
Optimal integation and characteristics of vertical array devices for ultra-high density, bit-cost scalable flash memory
-
December, (Toshiba). IEDM
-
Fukuzumi, Y. et al. (December 2007) Optimal integation and characteristics of vertical array devices for ultra-high density, bit-cost scalable flash memory, (Toshiba). IEDM.
-
(2007)
-
-
Fukuzumi, Y.1
-
114
-
-
64549122322
-
Disturbless flash memory due to high boost efficiency on BiCS structure and optimal memory film stack for ultra high density storage device
-
December, (Toshiba). IEDM
-
Komori, Y. et al. (December 2008) Disturbless flash memory due to high boost efficiency on BiCS structure and optimal memory film stack for ultra high density storage device, (Toshiba). IEDM.
-
(2008)
-
-
Komori, Y.1
-
115
-
-
77952413372
-
Optimal device structure for pipe-shaped BiCS flash memory for ultra high density storage device with excellent performance and reliability
-
December, (Toshiba). IEDM
-
Ishiduki, M. et al. (December 2009) Optimal device structure for pipe-shaped BiCS flash memory for ultra high density storage device with excellent performance and reliability, (Toshiba). IEDM.
-
(2009)
-
-
Ishiduki, M.1
-
116
-
-
84927687670
-
A comparative study of the program efficiency of gate all around SONOS and TANOS flash memory
-
May 16, (Seoul National University). IMW
-
Ji, J., Park, B.G., Lee, J.H., and Shin, H (May 16, 2010) A comparative study of the program efficiency of gate all around SONOS and TANOS flash memory, (Seoul National University). IMW.
-
(2010)
-
-
Ji, J.1
Park, B.G.2
Lee, J.H.3
Shin, H.4
-
117
-
-
77957872047
-
Highly reliable vertical NAND technology with biconcave shaped storage layer and leakage controllable offset structure
-
June, (Samsung). VLSI Technology Symposium
-
Cho, W.-S. et al. (June 2010) Highly reliable vertical NAND technology with biconcave shaped storage layer and leakage controllable offset structure, (Samsung). VLSI Technology Symposium.
-
(2010)
-
-
Cho, W.-S.1
-
118
-
-
71049151625
-
Vertical cell array using TCAT (terabit cell array transistor) technology for ultra high density NAND flash memory
-
June, (Samsung). VLSI Technology Symposium
-
Jang, J. et al. (June 2009) Vertical cell array using TCAT (terabit cell array transistor) technology for ultra high density NAND flash memory, (Samsung). VLSI Technology Symposium.
-
(2009)
-
-
Jang, J.1
-
119
-
-
80054991713
-
Highly scaled vertical cylindrical SONOS cell with bilayer polysilicon channel for 3-D NAND flash memory, (IMEC, ASM)
-
van den Bosch, G. et al. (2011) Highly scaled vertical cylindrical SONOS cell with bilayer polysilicon channel for 3-D NAND flash memory, (IMEC, ASM). IEEE Electron Device Letters, 32(11), 1501.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.11
, pp. 1501
-
-
van den Bosch, G.1
-
120
-
-
80052056121
-
CVD-cobalt for low resistance word line electrode of 3D NAND flash memory
-
May 8, (Hynix). IITC/MAM, p. 1
-
Kim, M.S. et al (May 8, 2011) CVD-cobalt for low resistance word line electrode of 3D NAND flash memory, (Hynix). IITC/MAM, p. 1.
-
(2011)
-
-
Kim, M.S.1
-
121
-
-
84876159926
-
Device considerations for high density and highly reliable 3D NAND flash cell in near future
-
December, (Hynix). IEDM
-
Choi, E.S. and Park, S.K. (December 2012) Device considerations for high density and highly reliable 3D NAND flash cell in near future, (Hynix). IEDM.
-
(2012)
-
-
Choi, E.S.1
Park, S.K.2
-
122
-
-
79960838179
-
A novel sensing scheme for reliable read operation of ultrathin-body vertical NAND flash memory devices, (Stanford University, Seoul National University)
-
Cho, S. and Park, B.G. (2011) A novel sensing scheme for reliable read operation of ultrathin-body vertical NAND flash memory devices, (Stanford University, Seoul National University). IEEE Transactions on Electron Devices, 58(8), 2814.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.8
, pp. 2814
-
-
Cho, S.1
Park, B.G.2
-
123
-
-
84862959549
-
3/graphene charge-trap memory devices, (Seoul National University)
-
3/graphene charge-trap memory devices, (Seoul National University). Applied Physics Letters, 100(2), 023109.
-
(2012)
Applied Physics Letters
, vol.100
, Issue.2
, pp. 023109
-
-
Lee, S.1
Song, E.B.2
Kim, S.3
-
125
-
-
80052669260
-
3D Approaches for non-volatile memory
-
June, (Samsung). VLSI Technology Symposium
-
Choi, J. and Seol, K.S. (June 2011) 3D Approaches for non-volatile memory, (Samsung). VLSI Technology Symposium.
-
(2011)
-
-
Choi, J.1
Seol, K.S.2
-
126
-
-
77957918328
-
A critical examination of 3D stackable NAND flash memory architectures by simulation study of the scaling capability
-
16 May, (Macronix). IMW
-
Hsiao, Y.H., Lue, H.T., Hsu, T.H. et al. (16 May 2010) A critical examination of 3D stackable NAND flash memory architectures by simulation study of the scaling capability, (Macronix). IMW.
-
(2010)
-
-
Hsiao, Y.H.1
Lue, H.T.2
Hsu, T.H.3
-
127
-
-
70349988742
-
Bitcost scalable technology with punch and plug process for ultra high density flash memory
-
June, (Toshiba). VLSI Technology Symposium
-
Tanaka, H. et al. (June 2007) Bitcost scalable technology with punch and plug process for ultra high density flash memory, (Toshiba). VLSI Technology Symposium.
-
(2007)
-
-
Tanaka, H.1
-
128
-
-
84875673082
-
Scaling directions for 2Dand 3D NAND cells
-
December, (Micron). IEDM
-
Goda, A. and Parat, K. (December 2012) Scaling directions for 2Dand 3D NAND cells, (Micron). IEDM.
-
(2012)
-
-
Goda, A.1
Parat, K.2
-
130
-
-
50249134336
-
Optimal integration and characteristics of vertical array devices for ultra-high density, bit-cost scalable flash memory
-
December, (Toshiba). IEDM
-
Fukuzumi, Y. et al. (December 2007) Optimal integration and characteristics of vertical array devices for ultra-high density, bit-cost scalable flash memory, (Toshiba). IEDM.
-
(2007)
-
-
Fukuzumi, Y.1
-
131
-
-
64549122322
-
Disturbless flash memory due to high boost efficiency on BiCS structure and optimal memory film stack for ultra high density storage device
-
December, (Toshiba). IEDM
-
Komori, Y. et al. (December 2008) Disturbless flash memory due to high boost efficiency on BiCS structure and optimal memory film stack for ultra high density storage device, (Toshiba). IEDM.
-
(2008)
-
-
Komori, Y.1
-
132
-
-
84927687284
-
Multi-stacked 1G cell/layer pipe-shaped BiCS flash memory
-
June, (Toshiba). VLSI Circuits Symposium
-
Maeda, T. et al. (June 2009) Multi-stacked 1G cell/layer pipe-shaped BiCS flash memory, (Toshiba). VLSI Circuits Symposium.
-
(2009)
-
-
Maeda, T.1
-
133
-
-
71049162177
-
Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices
-
June, (Toshiba). VLSI Technology Symposium
-
Katsumata, R. et al. (June 2009) Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices, (Toshiba). VLSI Technology Symposium.
-
(2009)
-
-
Katsumata, R.1
-
134
-
-
77952413372
-
Optimal device structure for pipe-shaped BiCS flash memory for ultra high density storage device with excellent performance and reliability
-
December, (Toshiba). IEDM
-
Ishiduki, M. et al. (December 2009) Optimal device structure for pipe-shaped BiCS flash memory for ultra high density storage device with excellent performance and reliability, (Toshiba). IEDM.
-
(2009)
-
-
Ishiduki, M.1
-
135
-
-
77957919195
-
Bit cost scalable (BiCS) flash technology for future ultra high density storage devices
-
June, (Toshiba). VLSI Technology Symposium
-
Nitiyama, A. and Aochi, H. (June 2010) Bit cost scalable (BiCS) flash technology for future ultra high density storage devices, (Toshiba). VLSI Technology Symposium.
-
(2010)
-
-
Nitiyama, A.1
Aochi, H.2
-
136
-
-
84927675686
-
State-of-the-art flash memory technology, looking into the future
-
16 April, (Toshiba), GSA/ SEMATECH Memory Conference
-
Ohshima, J. (16 April 2012) State-of-the-art flash memory technology, looking into the future, (Toshiba), GSA/ SEMATECH Memory Conference.
-
(2012)
-
-
Ohshima, J.1
-
137
-
-
84927679789
-
Control gate length, spacing and stacked layer number design for 3D-stackable NAND flash memory
-
May 20, (University of Tokyo). IMW
-
Yanagihara, Y., Miyaji, K., and Takeuchi, K (May 20, 2013) Control gate length, spacing and stacked layer number design for 3D-stackable NAND flash memory, (University of Tokyo). IMW.
-
(2013)
-
-
Yanagihara, Y.1
Miyaji, K.2
Takeuchi, K.3
-
138
-
-
84883442758
-
Bit cost scalable (BiCS) technology for future ultra high density storage memories
-
June, (Toshiba). VLSI Technology Symposium
-
Nitayama, A. and Aochi, H. (June 2013) Bit cost scalable (BiCS) technology for future ultra high density storage memories, (Toshiba). VLSI Technology Symposium.
-
(2013)
-
-
Nitayama, A.1
Aochi, H.2
-
139
-
-
84910103481
-
Samsung Starts Mass Producing Industry's First 3D Vertical NAND Flash
-
Press release, August 6
-
Samsung (2013) Samsung Starts Mass Producing Industry's First 3D Vertical NAND Flash, Press release, August 6.
-
(2013)
-
-
-
140
-
-
84927679607
-
Novel 3-D structure for ultra high density flash memory with VRAT (vertical-recessarray- transistor) and PIPE (planarized integration on the same plane)
-
June, (University of California Los Angeles, Samsung). VLSI Technology Symposium
-
Kim, J. et al. (June 2008) Novel 3-D structure for ultra high density flash memory with VRAT (vertical-recessarray- transistor) and PIPE (planarized integration on the same plane), (University of California Los Angeles, Samsung). VLSI Technology Symposium.
-
(2008)
-
-
Kim, J.1
-
141
-
-
71049142236
-
Novel vertical-stacked-array-transistor (VSAT) for ultra-high-density and cost-effective NAND flash memory devices and SSD (solid state drive)
-
June, (Samsung). VLSI Technology Symposium
-
Kim, J. et al. (June 2009) Novel vertical-stacked-array-transistor (VSAT) for ultra-high-density and cost-effective NAND flash memory devices and SSD (solid state drive), (Samsung). VLSI Technology Symposium.
-
(2009)
-
-
Kim, J.1
-
142
-
-
71049151625
-
Vertical cell array using TCAT (terabit cell array transistor) technology for ultra high density NAND flash memory
-
June, (Samsung). VLSI Technology Symposium
-
Jang, J. et al. (June 2009) Vertical cell array using TCAT (terabit cell array transistor) technology for ultra high density NAND flash memory, (Samsung). VLSI Technology Symposium.
-
(2009)
-
-
Jang, J.1
-
143
-
-
77957872047
-
Highly reliable vertical NAND technology with biconcave shaped storage layer and leakage controllable offset structure
-
June, (Samsung). VLSI Technology Symposium
-
Cho, W.S. et al. (June 2010) Highly reliable vertical NAND technology with biconcave shaped storage layer and leakage controllable offset structure, (Samsung). VLSI Technology Symposium.
-
(2010)
-
-
Cho, W.S.1
-
144
-
-
84866562446
-
Intrinsic fluctuations in vertical NAND flash memories
-
12 June, (Samsung). VLSI Technology Symposium
-
Nowak, E. et al. (12 June 2012) Intrinsic fluctuations in vertical NAND flash memories, (Samsung). VLSI Technology Symposium.
-
(2012)
-
-
Nowak, E.1
-
145
-
-
82155162326
-
High-density three-dimensional stacked NAND flash with common gate structure and shield layer, (Seoul National University)
-
Jeong, M.K., Joe, S.M., Shin, H. et al. (2011) High-density three-dimensional stacked NAND flash with common gate structure and shield layer, (Seoul National University). IEEE Transactions on Electron Devices, 58 (12), 4212.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.12
, pp. 4212
-
-
Jeong, M.K.1
Joe, S.M.2
Shin, H.3
-
146
-
-
84927687670
-
A comparative study of the program efficiency of gate all around SONOS and TANOS flash memory
-
16 May, Seoul National University, IMW
-
Ji, J., Park, B.G., Lee, J.H., and Shin, H. (16 May 2010) A comparative study of the program efficiency of gate all around SONOS and TANOS flash memory (Seoul National University), IMW.
-
(2010)
-
-
Ji, J.1
Park, B.G.2
Lee, J.H.3
Shin, H.4
-
147
-
-
84927686012
-
The 3-dimensional vertical FG NAND flash memory cell arrays with the novel electrical S/D technique using the extended sidewall control gate (ESCG)
-
May 16, (Tohoku University). IMW
-
Seo, M.S., Park, S.K., and Endoh, T (May 16, 2010) The 3-dimensional vertical FG NAND flash memory cell arrays with the novel electrical S/D technique using the extended sidewall control gate (ESCG), (Tohoku University). IMW.
-
(2010)
-
-
Seo, M.S.1
Park, S.K.2
Endoh, T.3
-
148
-
-
79959985861
-
New design method of the 3-dimensional vertical stacked FG type NANDcell arrays without the interference effect
-
June, (Tohoku University, JST-CREST). VLSI Technology Symposium
-
Seo, M.S. and Endoh, T. (June 2011) New design method of the 3-dimensional vertical stacked FG type NANDcell arrays without the interference effect, (Tohoku University, JST-CREST). VLSI Technology Symposium.
-
(2011)
-
-
Seo, M.S.1
Endoh, T.2
-
149
-
-
80052093406
-
3-D vertical FG NAND flash memory with a novel electrical S/D technique using the extended sidewall control gate, (Tohoku University, Hynix)
-
Seo, M.S., Park, S.K., and Endoh, T. (2011) 3-D vertical FG NAND flash memory with a novel electrical S/D technique using the extended sidewall control gate, (Tohoku University, Hynix). IEEE Transactions on Electron Devices, 58 (9), 2966.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.9
, pp. 2966
-
-
Seo, M.S.1
Park, S.K.2
Endoh, T.3
-
150
-
-
84927676896
-
A novel 3-D vertical FG NAND flash memory cell arrays using the separated sidewall control gate (S-SCG) for highly reliable MLC operation
-
22 May, (Tohoku University, Hynix). IMW
-
Seo, M.S., Lee, B.H., Park, S.K., and Endoh, T. (22 May 2011) A novel 3-D vertical FG NAND flash memory cell arrays using the separated sidewall control gate (S-SCG) for highly reliable MLC operation, (Tohoku University, Hynix). IMW.
-
(2011)
-
-
Seo, M.S.1
Lee, B.H.2
Park, S.K.3
Endoh, T.4
-
151
-
-
84864775273
-
Novel concept of the three-dimensional vertical FG NAND flash memory using the separated-sidewall control gate, (Tohoku University, Hynix)
-
Seo, M.S., Lee, B.H., Park, S.K., and Endoh, T. (2012) Novel concept of the three-dimensional vertical FG NAND flash memory using the separated-sidewall control gate, (Tohoku University, Hynix). IEEE Transactions on Electron Devices, 59 (8), 2018.
-
(2012)
IEEE Transactions on Electron Devices
, vol.59
, Issue.8
, pp. 2018
-
-
Seo, M.S.1
Lee, B.H.2
Park, S.K.3
Endoh, T.4
-
152
-
-
79951846898
-
Novel 3-dimensional dual control-gate with surrounding floating-gate (DCSF) NAND flash cell for 1 Tb file storage application
-
December, (Hynix). IEDM
-
Whang, S.J. et al. (December 2010) Novel 3-dimensional dual control-gate with surrounding floating-gate (DCSF) NAND flash cell for 1 Tb file storage application, (Hynix). IEDM.
-
(2010)
-
-
Whang, S.J.1
-
153
-
-
84927686139
-
New read scheme of variable Vpass-read for dual control gate with surrounding floating gate (DC-SR) NAND flash cell
-
22 May, (Hynix). IMW
-
Yoo, H.S. et al. (22 May 2011) New read scheme of variable Vpass-read for dual control gate with surrounding floating gate (DC-SR) NAND flash cell, (Hynix). IMW.
-
(2011)
-
-
Yoo, H.S.1
-
154
-
-
84866533021
-
A new metal control gate last process (MCGL process) for high performance DC-SF (dual control gate with surrounding floating gate) 3D NAND flash memory
-
June, (Hynix). VLSI Technology Symposium
-
Noh, Y. et al. (June 2012) A new metal control gate last process (MCGL process) for high performance DC-SF (dual control gate with surrounding floating gate) 3D NAND flash memory, (Hynix). VLSI Technology Symposium.
-
(2012)
-
-
Noh, Y.1
-
155
-
-
84875489906
-
Advanced DC-SF cell technology for 3-D NAND flash, (Hiroshima University, Hynix)
-
Aritome, S. et al. (2013) Advanced DC-SF cell technology for 3-D NAND flash, (Hiroshima University, Hynix). IEEE Transactions on Electron Devices, 60 (4), 1327.
-
(2013)
IEEE Transactions on Electron Devices
, vol.60
, Issue.4
, pp. 1327
-
-
Aritome, S.1
-
156
-
-
84927682660
-
Highly scalable 3-D vertical FG NAND cell arrays using the sidewall control pillar (SCP)
-
20 May, (Tohoku University, Hynix). IMW
-
Seo, M.S., Choi, J.M., Park, S.-K., and Endoh, T. (20 May 2012) Highly scalable 3-D vertical FG NAND cell arrays using the sidewall control pillar (SCP), (Tohoku University, Hynix). IMW.
-
(2012)
-
-
Seo, M.S.1
Choi, J.M.2
Park, S.-K.3
Endoh, T.4
-
157
-
-
85001141884
-
Characterization of traps in 3-D stacked NAND flash memory devices with tube-type poly-Si channel structure
-
December, (Seoul National University, Hynix). IEDM
-
Jeong, M.K. et al. (December 2012) Characterization of traps in 3-D stacked NAND flash memory devices with tube-type poly-Si channel structure, (Seoul National University, Hynix). IEDM.
-
(2012)
-
-
Jeong, M.K.1
-
158
-
-
84927681015
-
Inherent issues and challenges of program disturbance of 3D NAND flash cell
-
20 May, (Hynix). IMW
-
Shim, K.S. et al. (20 May 2013) Inherent issues and challenges of program disturbance of 3D NAND flash cell, (Hynix). IMW.
-
(2013)
-
-
Shim, K.S.1
-
159
-
-
84927678813
-
3-D stacked NAND flash memory having lateral bit-line layers and vertical gate
-
10 June, (Seoul National University), Silicon Nanoelectronics Workshop
-
Lee, J.W., Jeong, M.K., Park, B.G. et al. (10 June 2012) 3-D stacked NAND flash memory having lateral bit-line layers and vertical gate, (Seoul National University), Silicon Nanoelectronics Workshop.
-
(2012)
-
-
Lee, J.W.1
Jeong, M.K.2
Park, B.G.3
-
160
-
-
84927682695
-
Investigation into the effect of the variation of gate dimensions on program characteristics in3D NAND flash array
-
10 June, (Seoul National University), Silicon Nanoelectronics Workshop
-
Seo, J.Y. et al. (10 June 2012) Investigation into the effect of the variation of gate dimensions on program characteristics in3D NAND flash array, (Seoul National University), Silicon Nanoelectronics Workshop.
-
(2012)
-
-
Seo, J.Y.1
-
161
-
-
84927677709
-
Multi-layered vertical gate NAND flash overcoming stacking limit for terabit density storage
-
June, (Samsung). VLSI Technology Symposium
-
Kim, W.J. et al. (June 2009) Multi-layered vertical gate NAND flash overcoming stacking limit for terabit density storage, (Samsung). VLSI Technology Symposium.
-
(2009)
-
-
Kim, W.J.1
-
162
-
-
77957859786
-
A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND flash using junction-free buried channel BE-SONOS device
-
June, (Macronix). VLSI Technology Symposium
-
Lue, H.T. et al. (June 2010) A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND flash using junction-free buried channel BE-SONOS device, (Macronix). VLSI Technology Symposium.
-
(2010)
-
-
Lue, H.T.1
-
163
-
-
80052667352
-
A highly scalable vertical gate (VG) 3D NAND flash with robust program disturb immunity using a novel PN diode decoding structure
-
June, (Macronix). VLSI Technology Symposium
-
Hung, C.H. et al. (June 2011) A highly scalable vertical gate (VG) 3D NAND flash with robust program disturb immunity using a novel PN diode decoding structure, (Macronix). VLSI Technology Symposium.
-
(2011)
-
-
Hung, C.H.1
-
164
-
-
84927684049
-
Memory architecture of 3D vertical gate (3DVG) NAND flash using plural island-gate SSL decoding method and study of its program inhibit characteristics
-
20 May, (Macronix). IMW
-
Chang, K.P. et al. (20 May 2012) Memory architecture of 3D vertical gate (3DVG) NAND flash using plural island-gate SSL decoding method and study of its program inhibit characteristics, (Macronix). IMW.
-
(2012)
-
-
Chang, K.P.1
-
165
-
-
84866551035
-
A highly pitch scalable 3D vertical gate (VG) NAND flash decoded by a novel selfaligned independently controlled double gate (IDG) string select transistor (SSL)
-
June, (Macronix). VLSI Technology Symposium
-
Chen, C.P. et al. (June 2012) A highly pitch scalable 3D vertical gate (VG) NAND flash decoded by a novel selfaligned independently controlled double gate (IDG) string select transistor (SSL), (Macronix). VLSI Technology Symposium.
-
(2012)
-
-
Chen, C.P.1
-
166
-
-
84926343958
-
A highly scalable 8-layer vertical gate 3D NAND with split-page bit line layout and efficient binary-sum MiLC (minimal incremental layer cost) staircase contacts
-
December, (Macronix). IEDM
-
Chen, S.H. et al. (December 2012) A highly scalable 8-layer vertical gate 3D NAND with split-page bit line layout and efficient binary-sum MiLC (minimal incremental layer cost) staircase contacts, (Macronix). IEDM.
-
(2012)
-
-
Chen, S.H.1
-
167
-
-
84927679120
-
Design innovations to optimize the 3D stackable vertical gate (VG) NAND flash
-
December, (Macronix). IEDM
-
Hung, C.H. et al. (December 2012) Design innovations to optimize the 3D stackable vertical gate (VG) NAND flash, (Macronix). IEDM.
-
(2012)
-
-
Hung, C.H.1
-
168
-
-
84876140009
-
Modeling the variability caused by random grain boundary and trap-location induced asymmetrical read behavior for a tight-pitch vertical gate 3D NAND flash memory using double-gate thinfilm transistor (TFT) device
-
December, (Macronix). IEDM
-
Hsiao, Y.H. et al. (December 2012) Modeling the variability caused by random grain boundary and trap-location induced asymmetrical read behavior for a tight-pitch vertical gate 3D NAND flash memory using double-gate thinfilm transistor (TFT) device, (Macronix). IEDM.
-
(2012)
-
-
Hsiao, Y.H.1
-
169
-
-
84927679391
-
Investigation of shape etching on multi-layer SiO2/Poly-Si for 3D NAND architecture
-
14 May, (Macronix). ASMC
-
Yang, Z. et al. (14 May 2013) Investigation of shape etching on multi-layer SiO2/Poly-Si for 3D NAND architecture, (Macronix). ASMC.
-
(2013)
-
-
Yang, Z.1
-
170
-
-
84883314770
-
Study of the interference and disturb mechanisms of split-page 3D vertical gate (VG) NAND flash and optimized programming algorithms for multi-level cell (MLC) storage
-
June, (Macronix). VLSI Technology Symposium
-
Hsieh, C.C. et al. (June 2013) Study of the interference and disturb mechanisms of split-page 3D vertical gate (VG) NAND flash and optimized programming algorithms for multi-level cell (MLC) storage, (Macronix). VLSI Technology Symposium.
-
(2013)
-
-
Hsieh, C.C.1
-
171
-
-
84927679923
-
3D stackable vertical-gate BE-SONOS NAND flash with layer-aware program-andread schemes and wave-propagation fail-bit-detection against cross-layer process variations
-
June, (Macronix, National Tsing Hua University), VLSI Circuits Symposium
-
Hung, C.H. et al. (June 2013) 3D stackable vertical-gate BE-SONOS NAND flash with layer-aware program-andread schemes and wave-propagation fail-bit-detection against cross-layer process variations, (Macronix, National Tsing Hua University), VLSI Circuits Symposium.
-
(2013)
-
-
Hung, C.H.1
-
172
-
-
84883320062
-
A novel bit alterable 3D NAND flash using junction-free p-channel device with bandto- band tunneling induced hot-electron programming
-
June, (Macronix). VLSI Technology Symposium
-
Lue, H.T. et al. (June 2013) A novel bit alterable 3D NAND flash using junction-free p-channel device with bandto- band tunneling induced hot-electron programming, (Macronix). VLSI Technology Symposium.
-
(2013)
-
-
Lue, H.T.1
-
173
-
-
84927686232
-
A novel dual-channel 3D NAND flash featuring both n-channel and p-channel NAND characteristics for bit-alterable flash memory and a new opportunity in sensing the stored charge in the word-line space
-
December, (Macronix), IEDM
-
Lue, H.T. et al. (December 2013) A novel dual-channel 3D NAND flash featuring both n-channel and p-channel NAND characteristics for bit-alterable flash memory and a new opportunity in sensing the stored charge in the word-line space, (Macronix), IEDM.
-
(2013)
-
-
Lue, H.T.1
-
174
-
-
84927681586
-
Vertical NV memories as an alternative to scaling
-
Memory Strategies International, NVMTS
-
Prince, B. (2007) Vertical NV memories as an alternative to scaling, (Memory Strategies International). NVMTS.
-
(2007)
-
-
Prince, B.1
-
175
-
-
84927679568
-
An Integrated phase change memory cell with Ge nanowire diode for cross-point memory
-
June, (Stanford University). VLSI Technology Symposium
-
Zhang, Y. et al. (June 2007) An Integrated phase change memory cell with Ge nanowire diode for cross-point memory, (Stanford University). VLSI Technology Symposium.
-
(2007)
-
-
Zhang, Y.1
-
176
-
-
71049158037
-
NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path
-
June, (Stanford University). VLSI Technology Symposium
-
Lee, B. and Wong, H.S.P. (June 2009) NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path, (Stanford University). VLSI Technology Symposium.
-
(2009)
-
-
Lee, B.1
Wong, H.S.P.2
-
177
-
-
84927681392
-
Vertical cross-point resistance change memory for ultra-high density non-volatile memory applications
-
June, (Samsung) VLSI Technology Symposium
-
Yoon, H.S. (June 2009) Vertical cross-point resistance change memory for ultra-high density non-volatile memory applications, (Samsung) VLSI Technology Symposium.
-
(2009)
-
-
Yoon, H.S.1
-
178
-
-
84859214431
-
2 crossbar resistive RAM with excellent performance, reliability and low-energy operation
-
December, (IMEC, KU Leuven). IEDM
-
2 crossbar resistive RAM with excellent performance, reliability and low-energy operation, (IMEC, KU Leuven). IEDM.
-
(2011)
-
-
Govoreanu, B.1
-
179
-
-
84927675487
-
A 3D RRAM using stackable 1TXR Memory cell for high density application
-
23 July, (Fudan University). IEEE ICCCAS
-
Zhang, J. et al. (23 July 2009) A 3D RRAM using stackable 1TXR Memory cell for high density application, (Fudan University). IEEE ICCCAS.
-
(2009)
-
-
Zhang, J.1
-
180
-
-
84992450144
-
A 0.13 μm 64 Mb multi-layered conductive metal-oxide memory
-
February, (Unity Semiconductor). ISSCC
-
Chevallier, C.J. et al. (February 2010) A 0.13 μm 64 Mb multi-layered conductive metal-oxide memory, (Unity Semiconductor). ISSCC.
-
(2010)
-
-
Chevallier, C.J.1
-
181
-
-
84927681635
-
Asymmetry, vacancy engineering and mechanism for bipolar RRAM
-
May 20, (SEMATECH, Stanford University, College of Nanoscale Science and Engineering Albany). IMW
-
Gilmer, D.C. et al. (May 20 2012) Asymmetry, vacancy engineering and mechanism for bipolar RRAM, (SEMATECH, Stanford University, College of Nanoscale Science and Engineering Albany). IMW.
-
(2012)
-
-
Gilmer, D.C.1
-
182
-
-
84872848395
-
RRAM crossbar array with cell selection device: A device and circuit interaction study, (Peking University)
-
Deng, Y. et al. (2013) RRAM crossbar array with cell selection device: A device and circuit interaction study, (Peking University). IEEE Transactions on Electron Devices, 60(2), 719.
-
(2013)
IEEE Transactions on Electron Devices
, vol.60
, Issue.2
, pp. 719
-
-
Deng, Y.1
-
183
-
-
84927679503
-
2 2-layer 32Gb ReRAM memory device in 24 nm technology
-
February, (Sandisk, Toshiba). ISSCC
-
2 2-layer 32Gb ReRAM memory device in 24 nm technology, (Sandisk, Toshiba). ISSCC.
-
(2013)
-
-
Liu, T.Y.1
-
184
-
-
84927676778
-
Scaling challenges for the cross-point resistive memory array to sub-10nm node-an interconnect perspective
-
May, (Stanford University). IMW
-
Liang, J., Yeh, S., Wong, S.S., and Wong, H.S. Philip (May 2012) Scaling challenges for the cross-point resistive memory array to sub-10nm node-an interconnect perspective, (Stanford University). IMW.
-
(2012)
-
-
Liang, J.1
Yeh, S.2
Wong, S.S.3
Philip, W.H.S.4
-
185
-
-
79960280709
-
Polysilicon nanowire transistors and arrays fabricated with the multispacer technique, (CEA-LETI, University of Milano-Bicocca, École Polytechnique Fédérale de Lausanne)
-
Jamaa, M.H.B., Micheli, G.C.G.De., and Leblebici, Y. (2011) Polysilicon nanowire transistors and arrays fabricated with the multispacer technique, (CEA-LETI, University of Milano-Bicocca, École Polytechnique Fédérale de Lausanne). IEEE Transactions on Nanotechnology, 10(4), 891.
-
(2011)
IEEE Transactions on Nanotechnology
, vol.10
, Issue.4
, pp. 891
-
-
Jamaa, M.H.B.1
Micheli, G.C.G.D.2
Leblebici, Y.3
-
186
-
-
84927682046
-
Nanowire-Bbsed RRAMcrossbar memory with metallic core-oxide shell nanostructure
-
12 September, (Politecnico di Milano, Lawrence Berkeley National Lab). ESSDERC
-
Cagli, C., Nardi, F., Ielmini, D. et al. (12 September 2011) Nanowire-Bbsed RRAMcrossbar memory with metallic core-oxide shell nanostructure, (Politecnico di Milano, Lawrence Berkeley National Lab). ESSDERC.
-
(2011)
-
-
Cagli, C.1
Nardi, F.2
Ielmini, D.3
-
187
-
-
84927684832
-
Resistive switching AlOx-based memory with CNT electrode for ultra-low switching current and high density memory application
-
June, (Stanford University, Hong Kong University of Science and Technology). VLSI Technology Symposium
-
Wu, Y., Chai, Y., Chen, H.Y. et al. (June 2012) Resistive switching AlOx-based memory with CNT electrode for ultra-low switching current and high density memory application, (Stanford University, Hong Kong University of Science and Technology). VLSI Technology Symposium.
-
(2012)
-
-
Wu, Y.1
Chai, Y.2
Chen, H.Y.3
-
188
-
-
84927685414
-
Fundamental analysis of resistive nano-crossbars for the use in hybrid nano/ CMOS-memory
-
Aachen University). ESSCIRC
-
Flocke, A. and Noll, T.G. (2007) Fundamental analysis of resistive nano-crossbars for the use in hybrid nano/ CMOS-memory (Aachen University). ESSCIRC.
-
(2007)
-
-
Flocke, A.1
Noll, T.G.2
-
189
-
-
84899698230
-
2-stack 1D-1R cross-point structure with oxide diodes as switch elements for high density resistance RAM applications
-
December, (Samsung). IEDM
-
Lee, M.J. et al. (December 2007) 2-stack 1D-1R cross-point structure with oxide diodes as switch elements for high density resistance RAM applications, (Samsung). IEDM.
-
(2007)
-
-
Lee, M.J.1
-
190
-
-
77957010403
-
Cross-point memory array without cell selectors-device characteristics and data storage pattern dependencies, (Stanford University)
-
Liang, J. andWong, H.S.P. (2010) Cross-point memory array without cell selectors-device characteristics and data storage pattern dependencies, (Stanford University). IEEE Transactions on Electron Devices, 57(10), 5231.
-
(2010)
IEEE Transactions on Electron Devices
, vol.57
, Issue.10
, pp. 5231
-
-
Liang, J.1
Wong, H.S.P.2
-
191
-
-
84927682646
-
Size limitation of cross-point memory array and its dependence on data storage pattern and device parameters
-
6 June, (Stanford University). IITC, p. 1
-
Liang, J. and Wong, H.S.P. (6 June 2010) Size limitation of cross-point memory array and its dependence on data storage pattern and device parameters, (Stanford University). IITC, p. 1.
-
(2010)
-
-
Liang, J.1
Wong, H.S.P.2
-
192
-
-
84927683811
-
Analysis of the effect of cell parameters on the maximum RRAM array size considering both read and write
-
September, (IMEC, KU Leuven, ESAT). ESSDERC
-
Zhang, L., Cosemans, S., Wouters, D.J. et al. (September 2012) Analysis of the effect of cell parameters on the maximum RRAM array size considering both read and write, (IMEC, KU Leuven, ESAT). ESSDERC.
-
(2012)
-
-
Zhang, L.1
Cosemans, S.2
Wouters, D.J.3
-
193
-
-
84875485846
-
A comprehensive crossbar array model with solutions for line resistance and nonlinear device characteristics, (GlobalFoundries)
-
Chen, A. (2013) A comprehensive crossbar array model with solutions for line resistance and nonlinear device characteristics, (GlobalFoundries). IEEE Transactions on Electron Devices, 60(4), 1318.
-
(2013)
IEEE Transactions on Electron Devices
, vol.60
, Issue.4
, pp. 1318
-
-
Chen, A.1
-
194
-
-
84894299467
-
Comprehensive methodology for the design and assessment of crossbar memory array with nonlinear and asymmetric selector devices
-
December, (GlobalFoundries). IEDM
-
Chen, A. (December 2013) Comprehensive methodology for the design and assessment of crossbar memory array with nonlinear and asymmetric selector devices, (GlobalFoundries). IEDM.
-
(2013)
-
-
Chen, A.1
-
195
-
-
84866534688
-
2 selector-less crossbar Array 2 Mb ReRAM based on transition metal oxides for high density memory applications
-
June, (Hynix, Hewlett-Packard). VLSI Technology Symposium
-
2 selector-less crossbar Array 2 Mb ReRAM based on transition metal oxides for high density memory applications, (Hynix, Hewlett-Packard). VLSI Technology Symposium.
-
(2012)
-
-
Lee, H.D.1
-
196
-
-
80052072008
-
Array architecture for a nonvolatile 3-dimensional cross-point resistance-change memory, (Stanford University)
-
Ou, E. and Wong, S.S. (2011) Array architecture for a nonvolatile 3-dimensional cross-point resistance-change memory, (Stanford University). IEEE Journal of Solid-State Circuits, 46(9), 2158.
-
(2011)
IEEE Journal of Solid-State Circuits
, vol.46
, Issue.9
, pp. 2158
-
-
Ou, E.1
Wong, S.S.2
-
197
-
-
84927676738
-
Low power cross-point memory architecture
-
14 November, (Unity Semiconductor). ASSCC
-
Bateman, B., Siau, C., and Chevallier, C. (14 November 2011) Low power cross-point memory architecture, (Unity Semiconductor). ASSCC.
-
(2011)
-
-
Bateman, B.1
Siau, C.2
Chevallier, C.3
-
198
-
-
84927678855
-
Scaling effect of device area and film thickness on electrical and reliability characteristics of RRAM
-
8 May, (Gwangju Institute of Science and Technology). IITC
-
Lee, J., Park, J., Jung, S., and Hwang, H. (8 May 2011) Scaling effect of device area and film thickness on electrical and reliability characteristics of RRAM, (Gwangju Institute of Science and Technology). IITC, pp. 1.
-
(2011)
, pp. 1
-
-
Lee, J.1
Park, J.2
Jung, S.3
Hwang, H.4
-
199
-
-
84883325543
-
HfOx based vertical resistive random access memory for costeffective 3d cross-point architecture without cell selector
-
December, (Stanford University, Peking University). IEDM
-
Chen, H.Y., Yu, S., Gao, B. et al. (December 2012) HfOx based vertical resistive random access memory for costeffective 3d cross-point architecture without cell selector, (Stanford University, Peking University). IEDM.
-
(2012)
-
-
Chen, H.Y.1
Yu, S.2
Gao, B.3
-
200
-
-
84927680651
-
Dopant selection rules for extrinsic tunability of HfOx RRAM characteristics: A systematic study
-
June, (Stanford University). VLSI Technology Symposium
-
Zhao, L. et al. (June 2013) Dopant selection rules for extrinsic tunability of HfOx RRAM characteristics: A systematic study, (Stanford University). VLSI Technology Symposium.
-
(2013)
-
-
Zhao, L.1
-
201
-
-
84866534472
-
A novel cross point one-resistor (0T1R) conductive bridge random access memory (CBRAM) with ultra low SET/RESET operation current
-
June, (Macronix). VLSI Technology Symposium
-
Lee, F.M., Lin, Y.Y., Lee, M.H. et al. (June 2012) A novel cross point one-resistor (0T1R) conductive bridge random access memory (CBRAM) with ultra low SET/RESET operation current, (Macronix). VLSI Technology Symposium.
-
(2012)
-
-
Lee, F.M.1
Lin, Y.Y.2
Lee, M.H.3
-
202
-
-
84856254506
-
Electromechanical diode cell for cross-point nonvolatile memory arrays, (University of California, Berkeley)
-
Kwon, W., Jeon, J., Hutin, L., and Liu, T.J.K. (2012) Electromechanical diode cell for cross-point nonvolatile memory arrays, (University of California, Berkeley). IEEE Electron Device Letters, 33(2), 131.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.2
, pp. 131
-
-
Kwon, W.1
Jeon, J.2
Hutin, L.3
Liu, T.J.K.4
-
203
-
-
84866935859
-
Punchthrough-diode-based bipolar RRAM selector by Si epitaxy, (IIT, Bombay)
-
Srinivasan, V.S.S. et al. (2012) Punchthrough-diode-based bipolar RRAM selector by Si epitaxy, (IIT, Bombay). IEEE Electron Device Letters, 33(10), 1396.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.10
, pp. 1396
-
-
Srinivasan, V.S.S.1
-
204
-
-
79951951303
-
A high-yield hfox-based unipolar resistive RAM employing Ni electrode compatible with Si-diode selector for crossbar integration, (Nanyang Technological University, National University of Singapore, Soitec, Fudan University)
-
Tran, X.A. et al. (2011) A high-yield hfox-based unipolar resistive RAM employing Ni electrode compatible with Si-diode selector for crossbar integration, (Nanyang Technological University, National University of Singapore, Soitec, Fudan University). IEEE Electron Device Letters, 32(3), 396.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.3
, pp. 396
-
-
Tran, X.A.1
-
205
-
-
77953026233
-
Reset-set instability in unipolar resistive-switching memory, (Politechnico di Milano)
-
Ielmini, D. (2010) Reset-set instability in unipolar resistive-switching memory, (Politechnico di Milano). IEEE Electron Device Letters, 31(6), 552.
-
(2010)
IEEE Electron Device Letters
, vol.31
, Issue.6
, pp. 552
-
-
Ielmini, D.1
-
206
-
-
84927675246
-
Reset current reduction and set-reset instabilities in unipolar NiO RRAM
-
May, (Politecnico di Milano, IMM-CNR). IMW
-
Nardi, F., Cagli, C., Ielmini, D., and Spiga, S. (May 2011) Reset current reduction and set-reset instabilities in unipolar NiO RRAM, (Politecnico di Milano, IMM-CNR). IMW.
-
(2011)
-
-
Nardi, F.1
Cagli, C.2
Ielmini, D.3
Spiga, S.4
-
207
-
-
84927676877
-
High performance unipolar AlOy/HfOx/Ni based RRAM compatible with Si diodes for 3D application
-
June, (Nanyang Technical University, Peking University, A*STAR, NUS, GlobalFoundries). VLSI Technology Symposium
-
Tran, X.A. et al. (June 2011) High performance unipolar AlOy/HfOx/Ni based RRAM compatible with Si diodes for 3D application, (Nanyang Technical University, Peking University, A*STAR, NUS, GlobalFoundries). VLSI Technology Symposium.
-
(2011)
-
-
Tran, X.A.1
-
208
-
-
84864757903
-
A new dynamic selector based on the bipolar RRAM for the crossbar array application, (IME Peking University)
-
Huang, Y. et al. (2012) A new dynamic selector based on the bipolar RRAM for the crossbar array application, (IME Peking University). IEEE Transactions on Electron Devices, 59(8), 2277.
-
(2012)
IEEE Transactions on Electron Devices
, vol.59
, Issue.8
, pp. 2277
-
-
Huang, Y.1
-
209
-
-
84874909612
-
Self-rectifying and forming-free unipolar HfOx based high performance RRAM built by fab-available materials
-
December, (Nanyang Technological University, IMEPeking, A*STAR, NUS, Global-Foundries, IME CAS Beijing, Soitec, Fudan University). IEDM
-
Tran, X.A. et al. (December 2011) Self-rectifying and forming-free unipolar HfOx based high performance RRAM built by fab-available materials, (Nanyang Technological University, IMEPeking, A*STAR, NUS, Global-Foundries, IME CAS Beijing, Soitec, Fudan University). IEDM.
-
(2011)
-
-
Tran, X.A.1
-
210
-
-
84860664697
-
An 8 Mb multi-layered cross-point ReRAM macro with 443 MB/s write throughput
-
19 February, (Panasonic). ISSCC
-
Kawahara, A. et al. (19 February 2012) An 8 Mb multi-layered cross-point ReRAM macro with 443 MB/s write throughput, (Panasonic). ISSCC.
-
(2012)
-
-
Kawahara, A.1
-
211
-
-
84862787919
-
A self-rectifying HfOx-based unipolar RRAM with NiSi electrode, (Nanyang Technical University, Peking University, NUS, Soitec, Fudan University, SUS&T Shenzhen)
-
Tran, X.A. et al. (2012) A self-rectifying HfOx-based unipolar RRAM with NiSi electrode, (Nanyang Technical University, Peking University, NUS, Soitec, Fudan University, SUS&T Shenzhen). IEEE Electron Device Letters, 33(4), 585.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.4
, pp. 585
-
-
Tran, X.A.1
-
212
-
-
84871731270
-
Self-selection unipolar HfOx-based RRAM, (Nanyang Technological University, NUS, Soitec, SUST China)
-
Tran, X.A., Zhu, W., Liu, W.J. et al. (2013) Self-selection unipolar HfOx-based RRAM, (Nanyang Technological University, NUS, Soitec, SUST China). IEEE Transactions on Electron Devices, 60(1), 391.
-
(2013)
IEEE Transactions on Electron Devices
, vol.60
, Issue.1
, pp. 391
-
-
Tran, X.A.1
Zhu, W.2
Liu, W.J.3
-
213
-
-
77957870044
-
Novel cross-point resistive switching memory with self-formed Schottky barrier
-
June, (Gwangju Institute of Science and Technology). VLSI Technology Symposium
-
Jo, M. et al. (June 2010) Novel cross-point resistive switching memory with self-formed Schottky barrier, (Gwangju Institute of Science and Technology). VLSI Technology Symposium.
-
(2010)
-
-
Jo, M.1
-
214
-
-
84927682104
-
A novel high performance WOx ReRAM based on thermally-induced SET operation
-
June, (Macronix). VLSI Technology Symposium
-
Chien, W.C. et al. (June 2013) A novel high performance WOx ReRAM based on thermally-induced SET operation, (Macronix). VLSI Technology Symposium.
-
(2013)
-
-
Chien, W.C.1
-
215
-
-
84927686283
-
2 cell size driven by low contact-resistivity poly-Si diode
-
June, (Hitachi). VLSI Technology Symposium
-
2 cell size driven by low contact-resistivity poly-Si diode, (Hitachi). VLSI Technology Symposium.
-
(2009)
-
-
Sasago, Y.1
-
216
-
-
84863022718
-
2 (84 nm Pitch) cell scheme for 1 Gb and beyond
-
December, (Hynix). IEDM
-
2 (84 nm Pitch) cell scheme for 1 Gb and beyond, (Hynix). IEDM.
-
(2011)
-
-
Lee, S.H.1
-
217
-
-
80052664968
-
A 1.4 μA reset current phase change memory cell with integrated carbon nanotube electrodes for cross-point memory application
-
June, (Stanford University). VLSI Technology Symposium
-
Liang, J., Jeyasingh, R.G.D., Chen, H.Y., and Wong, H.S. Philip (June 2011) A 1.4 μA reset current phase change memory cell with integrated carbon nanotube electrodes for cross-point memory application, (Stanford University). VLSI Technology Symposium.
-
(2011)
-
-
Liang, J.1
Jeyasingh, R.G.D.2
Chen, H.Y.3
Philip, W.H.S.4
-
218
-
-
77957871741
-
Highly-scalable novel access device based on mixed ionic electronic conduction (MIEC) materials for high density phase change memory (PCM) arrays
-
June, (IBM). VLSI Technology Symposium
-
Gopalakrishnan, K. et al. (June 2010) Highly-scalable novel access device based on mixed ionic electronic conduction (MIEC) materials for high density phase change memory (PCM) arrays, (IBM). VLSI Technology Symposium.
-
(2010)
-
-
Gopalakrishnan, K.1
-
219
-
-
80052672054
-
Endurance and scaling trends of novel access-devices for multi-layer crosspointmemory based on mixed-ionic-electronic-conduction (MIEC) materials
-
June, (IBM). VLSI Technology Symposium
-
Shenoy, R.S. et al. (June 2011) Endurance and scaling trends of novel access-devices for multi-layer crosspointmemory based on mixed-ionic-electronic-conduction (MIEC) materials, (IBM). VLSI Technology Symposium.
-
(2011)
-
-
Shenoy, R.S.1
-
220
-
-
84866552361
-
Large-scale (512 Kbit) integration of multilayer-ready access devices based on mixed-ionic-electronic-conduction (MIEC) at 100% yield
-
June, (IBM). VLSI Technology Symposium
-
Burr, G.W. et al. (June 2012) Large-scale (512 Kbit) integration of multilayer-ready access devices based on mixed-ionic-electronic-conduction (MIEC) at 100% yield, (IBM). VLSI Technology Symposium.
-
(2012)
-
-
Burr, G.W.1
-
221
-
-
78649597262
-
A stackable cross point phase change memory
-
December, (Intel, Numonyx). IEDM
-
Kau, D.C. et al. (December 2009) A stackable cross point phase change memory, (Intel, Numonyx). IEDM.
-
(2009)
-
-
Kau, D.C.1
-
222
-
-
79952021989
-
Driving device comparison for phase-change memory, (Hong Kong University of Science and Technology, IBM, Qimonda, Macronix)
-
Li, L., Lu, K., Rajendran, B. et al. (2011) Driving device comparison for phase-change memory, (Hong Kong University of Science and Technology, IBM, Qimonda, Macronix). IEEE Transactions on Electron Devices, 58(3), 664.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.3
, pp. 664
-
-
Li, L.1
Lu, K.2
Rajendran, B.3
-
223
-
-
84864133905
-
PRAM cell technology and characterization in 20 nm node size
-
10 December, (Samsung), IEDM
-
Kang, M.J. et al. (10 December 2011) PRAM cell technology and characterization in 20 nm node size, (Samsung), IEDM.
-
(2011)
-
-
Kang, M.J.1
-
224
-
-
79960896427
-
Design and fabrication of dual-trench epitaxial diode array for high-density phase-change memory, (SMIC, Chinese Academy of Science, Microchip)
-
Zhang, C. et al. (2011) Design and fabrication of dual-trench epitaxial diode array for high-density phase-change memory, (SMIC, Chinese Academy of Science, Microchip). IEEE Electron Device Letters, 32(8), 1014.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.8
, pp. 1014
-
-
Zhang, C.1
-
225
-
-
80054990782
-
2 for high-density bipolar ReRAM applications, (Gwangju Institute of Science and Technology)
-
2 for high-density bipolar ReRAM applications, (Gwangju Institute of Science and Technology). IEEE Electron Device Letters, 32(11), 1579.
-
(2011)
IEEE Electron Device Letters
, vol.32
, Issue.11
, pp. 1579
-
-
Son, M.1
-
226
-
-
84883436669
-
Highly-scalable threshold switching select device based on chalcogenide glasses for 3D nanoscaled memory arrays
-
December, (Samsung). IEDM
-
Lee, M.J. et al. (December 2012) Highly-scalable threshold switching select device based on chalcogenide glasses for 3D nanoscaled memory arrays, (Samsung). IEDM.
-
(2012)
-
-
Lee, M.J.1
-
227
-
-
84927675085
-
Reliability of ambipolar switching poly-Si diodes for crosspoint memory applications
-
June, (National Taiwan Normal University, Industrial Technology Research Institute). DRC
-
Lee, M.H., Kao, C.Y., Yang, C.L. et al. (June 2011) Reliability of ambipolar switching poly-Si diodes for crosspoint memory applications, (National Taiwan Normal University, Industrial Technology Research Institute). DRC.
-
(2011)
-
-
Lee, M.H.1
Kao, C.Y.2
Yang, C.L.3
-
228
-
-
85048707154
-
Two-terminal diode steering element for 3D x-bar memory
-
14 May, (Applied Materials). International Workshop on Junction Technology
-
Ping, E.X., Erokhin, Y., Gossmann, H.J., and Khaja, F.A. (14 May 2012) Two-terminal diode steering element for 3D x-bar memory, (Applied Materials). International Workshop on Junction Technology.
-
(2012)
-
-
Ping, E.X.1
Erokhin, Y.2
Gossmann, H.J.3
Khaja, F.A.4
-
229
-
-
84927681757
-
Two-terminal diode steering element for 3D X-Bar memory
-
June, (Applied Materials). VLSI Technology Symposium
-
Ping, E.X., Erokhin, Yl., Gossmann, H.J., and Khaja, F.A. (June 2012) Two-terminal diode steering element for 3D X-Bar memory, (Applied Materials). VLSI Technology Symposium.
-
(2012)
-
-
Ping, E.X.1
Erokhin, Y.2
Gossmann, H.J.3
Khaja, F.A.4
-
230
-
-
84866533785
-
2, selectivity 104) for 3D bipolar resistive memory arrays
-
June, (Gwangju Institute of Science and Technology, Hynix), VLSI Technology Symposium
-
2, selectivity 104) for 3D bipolar resistive memory arrays, (Gwangju Institute of Science and Technology, Hynix), VLSI Technology Symposium.
-
(2012)
-
-
Lee, W.1
-
231
-
-
84927681088
-
2 cross-point bipolar and unipolar resistive random access memory
-
December, (NDL, NARL, National Chiao Tung University, Mesoscope Technology, Fu-Jen University, CYCU, University of California Berkeley). IEDM
-
2 cross-point bipolar and unipolar resistive random access memory, (NDL, NARL, National Chiao Tung University, Mesoscope Technology, Fu-Jen University, CYCU, University of California Berkeley). IEDM.
-
(2012)
-
-
Ho, C.Y.1
-
232
-
-
84872114992
-
An 8 Mb multi-layered cross-point ReRAM macro with 443 MB/s write throughput, (Panasonic)
-
Kawahara, A. et al. (2013) An 8 Mb multi-layered cross-point ReRAM macro with 443 MB/s write throughput, (Panasonic). IEEE Journal of Solid-State Circuits, 48(1), 178.
-
(2013)
IEEE Journal of Solid-State Circuits
, vol.48
, Issue.1
, pp. 178
-
-
Kawahara, A.1
-
233
-
-
84866935831
-
A self-rectifying AlOy bipolar RRAM with Sub-50-uA set/reset current for cross-bar architecture, (NUS, Soitec, SUST China)
-
Tran, X.A., Zhu, W., Liu, W.J. et al. (2012) A self-rectifying AlOy bipolar RRAM with Sub-50-uA set/reset current for cross-bar architecture, (NUS, Soitec, SUST China). IEEE Electron Device Letters, 33(10), 1402.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.10
, pp. 1402
-
-
Tran, X.A.1
Zhu, W.2
Liu, W.J.3
-
234
-
-
84927678689
-
2 RRAM with superior endurance over 1012 cycles for 3D high-density storage-class memory
-
June, (National Chiao Tung University, Winbond). VLSI Technology Symposium
-
2 RRAM with superior endurance over 1012 cycles for 3D high-density storage-class memory, (National Chiao Tung University, Winbond). VLSI Technology Symposium.
-
(2013)
-
-
Hsu, C.W.1
-
235
-
-
84862788677
-
2 thin films using the semiconducting In-Ga- Zn-O electrode, (Seoul National University)
-
2 thin films using the semiconducting In-Ga- Zn-O electrode, (Seoul National University). IEEE Electron Device Letters, 33(4), 582.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.4
, pp. 582
-
-
Seok, J.Y.1
-
236
-
-
84907667300
-
2 threshold selector with TiN electrode
-
December, (Pohang University of Science and Technology, University of Tsukuba, Nagoya University, Stanford University). IEDM
-
2 threshold selector with TiN electrode, (Pohang University of Science and Technology, University of Tsukuba, Nagoya University, Stanford University). IEDM.
-
(2013)
-
-
Cha, E.1
-
237
-
-
79151473471
-
Integrated complementary resistive switches for passive high-density nanocrossbar arrays, (Institute of SS Research Jülich, Aachen University)
-
Rosezin, R., Linn, E., Nielen, L. et al. (2011) Integrated complementary resistive switches for passive high-density nanocrossbar arrays, (Institute of SS Research Jülich, Aachen University). IEEE Electron Device Letters, 30(2), 191.
-
(2011)
IEEE Electron Device Letters
, vol.30
, Issue.2
, pp. 191
-
-
Rosezin, R.1
Linn, E.2
Nielen, L.3
-
238
-
-
77951622926
-
Complementary resistive switches for passive nanocrossbar memories
-
(University of Aachen, Forschungszentrum Jülich)
-
Linn, E. et al. (2010) Complementary resistive switches for passive nanocrossbar memories, (University of Aachen, Forschungszentrum Jülich). Nature Materials, 9, 403.
-
(2010)
Nature Materials
, vol.9
, pp. 403
-
-
Linn, E.1
-
239
-
-
80054950125
-
Nanoscale bipolar and complementary resistive switching memory based on amorphous carbon, (Stanford University, University of California, Berkeley, Hong Kong Polytechnic University)
-
Chai, Y. et al. (2011) Nanoscale bipolar and complementary resistive switching memory based on amorphous carbon, (Stanford University, University of California, Berkeley, Hong Kong Polytechnic University). IEEE Transactions on Electron Devices, 58(11), 3933.
-
(2011)
IEEE Transactions on Electron Devices
, vol.58
, Issue.11
, pp. 3933
-
-
Chai, Y.1
-
240
-
-
84864754722
-
Complementary switching in metal oxides:Toward diode-less crossbar RRAMs
-
December, (Politecnico di Milano). IEDM
-
Nardi, F., Balatti, S., Larentis, S., and Ielmini, D. (December 2011) Complementary switching in metal oxides:Toward diode-less crossbar RRAMs, (Politecnico di Milano). IEDM.
-
(2011)
-
-
Nardi, F.1
Balatti, S.2
Larentis, S.3
Ielmini, D.4
-
241
-
-
79958058204
-
Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications
-
December, (Gwangju Institute of Science and Technology). IEDM
-
Lee, J. et al. (December 2010) Diode-less nano-scale ZrOx/HfOx RRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications, (Gwangju Institute of Science and Technology). IEDM.
-
(2010)
-
-
Lee, J.1
-
242
-
-
84862828865
-
Operation voltage control in complementary resistive switches using heterodevice, (Gwangju Institute of Science and Technology)
-
Lee, D. (2012) Operation voltage control in complementary resistive switches using heterodevice, (Gwangju Institute of Science and Technology). IEEE Electron Device Letters, 33(4), 600.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.4
, pp. 600
-
-
Lee, D.1
-
243
-
-
84860382445
-
Self-selective characteristics of nanoscale VOx devices for high-density ReRAM applications, (Gwangju Institute of Science and Technology)
-
Son, M. et al. (2012) Self-selective characteristics of nanoscale VOx devices for high-density ReRAM applications, (Gwangju Institute of Science and Technology). IEEE Electron Device Letters, 33(5), 718.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.5
, pp. 718
-
-
Son, M.1
-
244
-
-
84927686202
-
2 hybrid memory with both memory and selector characteristics for high density 3D vertically Stackable RRAM applications
-
June, (Gwangju Institute of Science and Technology, Hynix). VLSI Technology Symposium
-
2 hybrid memory with both memory and selector characteristics for high density 3D vertically Stackable RRAM applications, (Gwangju Institute of Science and Technology, Hynix). VLSI Technology Symposium.
-
(2012)
-
-
Kim, S.1
-
245
-
-
84864485488
-
Analysis of complementary RRAM switching, (IMEC, ESAT-KUL)
-
Wouters, D.J. et al. (2012) Analysis of complementary RRAM switching, (IMEC, ESAT-KUL). IEEE Electron Device Letters, 33(8), 1186.
-
(2012)
IEEE Electron Device Letters
, vol.33
, Issue.8
, pp. 1186
-
-
Wouters, D.J.1
-
246
-
-
84866125980
-
The 3-D stacking bipolarRRAMfor high density
-
New York University, Nanyang Technological University, ICF International
-
Chen, Y.C., Li, H., Zhang, W., and Pino, R.E. (2012) The 3-D stacking bipolarRRAMfor high density, (New York University, Nanyang Technological University, ICF International). IEEE Transactions on Nanotechnology, II(5), 948.
-
(2012)
IEEE Transactions on Nanotechnology
, vol.2
, Issue.5
, pp. 948
-
-
Chen, Y.C.1
Li, H.2
Zhang, W.3
Pino, R.E.4
-
247
-
-
84871799376
-
Complementary switching in oxide-based bipolar resistive-switching random memory, (Politecnico di Milano, University of Texas)
-
Nardi, F., Balatti, S., Larentis, S. et al. (2013) Complementary switching in oxide-based bipolar resistive-switching random memory, (Politecnico di Milano, University of Texas). IEEE Transactions on Electron Devices, 60(1), 70.
-
(2013)
IEEE Transactions on Electron Devices
, vol.60
, Issue.1
, pp. 70
-
-
Nardi, F.1
Balatti, S.2
Larentis, S.3
-
248
-
-
84926481527
-
Ultra high density 3D via RRAM in pure 28 nm CMOS process
-
December, (TSMC, ITRI). IEDM
-
Hsieh, M.C. et al. (December 2013) Ultra high density 3D via RRAM in pure 28 nm CMOS process, (TSMC, ITRI). IEDM.
-
(2013)
-
-
Hsieh, M.C.1
-
249
-
-
84919686901
-
3 self-rectifying ratio and sub-μA operating current
-
December, (National Chiao Tung University, National Nano Device Lab, Winbond). IEDM
-
3 self-rectifying ratio and sub-μA operating current, (National Chiao Tung University, National Nano Device Lab, Winbond). IEDM.
-
(2013)
-
-
Hsu, C.W.1
-
250
-
-
84907405692
-
Design and optimization methodology for 3D RRAM arrays
-
December, (Peking University, Stanford University, National Chiao Tung University). IEDM
-
Deng, Y. et al. (December 2013) Design and optimization methodology for 3D RRAM arrays, (Peking University, Stanford University, National Chiao Tung University). IEDM.
-
(2013)
-
-
Deng, Y.1
-
251
-
-
84927680328
-
High density spin-transfer torque (STT)-MRAM based on cross-point architecture
-
20 May, (IEF Paris-Sud, UMR, CNRS, STMicroelectronics). IMW
-
Zhao, W. et al. (20 May 2012) High density spin-transfer torque (STT)-MRAM based on cross-point architecture, (IEF Paris-Sud, UMR, CNRS, STMicroelectronics). IMW.
-
(2012)
-
-
Zhao, W.1
-
252
-
-
84866125003
-
Cross-point architecture for spin-transfer torque magnetic random access memory, (Université Paris-Sud)
-
Zhao, W., Chaudhuri, S., Accoto, C. et al. (2012) Cross-point architecture for spin-transfer torque magnetic random access memory, (Université Paris-Sud). IEEE Transactions on Nanotechnology, 11(5), 907.
-
(2012)
IEEE Transactions on Nanotechnology
, vol.11
, Issue.5
, pp. 907
-
-
Zhao, W.1
Chaudhuri, S.2
Accoto, C.3
-
253
-
-
84866125003
-
Cross-point architecture for spin-transfer torque magnetic random access Memory, (Université Paris-Sud, STMicroelectronics, Imperial College London)
-
Zhao, W., Chaudhuri, S., Accoto, C. et al. (2012) Cross-point architecture for spin-transfer torque magnetic random access Memory, (Université Paris-Sud, STMicroelectronics, Imperial College London). IEEE Transactions on Nanotechnology, 11(5), 907.
-
(2012)
IEEE Transactions on Nanotechnology
, vol.11
, Issue.5
, pp. 907
-
-
Zhao, W.1
Chaudhuri, S.2
Accoto, C.3
-
254
-
-
84927677049
-
Over 10-times high-speed, energy efficient 3D TSVintegrated hybrid ReRAM/MLC NAND SSD by intelligent data fragmentation suppression
-
22 January, (University of Tokyo). ASP-DAC
-
Sun, C., Fujii, H., Miyajo, K. et al. (22 January 2013) Over 10-times high-speed, energy efficient 3D TSVintegrated hybrid ReRAM/MLC NAND SSD by intelligent data fragmentation suppression, (University of Tokyo). ASP-DAC.
-
(2013)
-
-
Sun, C.1
Fujii, H.2
Miyajo, K.3
-
255
-
-
84927683423
-
3-D Chips Grow Up
-
January
-
Courtland, R. (2012) 3-D Chips Grow Up. IEEE Spectrum (January), p. 123.
-
(2012)
IEEE Spectrum
, pp. 123
-
-
Courtland, R.1
-
256
-
-
84927680615
-
Semtech and IBM Join Forces to Develop High-Performance Integrated ADC/DSP Platform Using 3D TSV Technology
-
Press release, December 8
-
Semtech (2010) Semtech and IBM Join Forces to Develop High-Performance Integrated ADC/DSP Platform Using 3D TSV Technology. Press release, December 8.
-
(2010)
-
-
-
257
-
-
84927684308
-
JEDEC Releases Next-Gen DRAM Spec
-
EE Times (September 25)
-
Merritt, R. (2012) JEDEC Releases Next-Gen DRAM Spec. EE Times (September 25).
-
(2012)
-
-
Merritt, R.1
-
258
-
-
84927688314
-
Wide I/O Driving 3-D with TSV
-
(Cadence). EE Times (March 9)
-
Greenberg, M. and Bansal, S. (2012) Wide I/O Driving 3-D with TSV, (Cadence). EE Times (March 9).
-
(2012)
-
-
Greenberg, M.1
Bansal, S.2
-
259
-
-
84927677844
-
Consortium to Accelerate Dramatic Advances in Memory Technology Announces New Members
-
Press Release, June 27
-
Micron. (2012) Consortium to Accelerate Dramatic Advances in Memory Technology Announces New Members. Press Release, June 27.
-
(2012)
-
-
-
260
-
-
71049184001
-
Process-design considerations for three dimensional memory integration
-
June, (IBM). VLSI Technology Symposium
-
Iyer, S.S. et al. (June 2009) Process-design considerations for three dimensional memory integration, (IBM). VLSI Technology Symposium.
-
(2009)
-
-
Iyer, S.S.1
-
261
-
-
84655163339
-
A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O DRAM with 4 × 128 I/Os using TSV based stacking, (Samsung)
-
Kim, J.S. et al. (2012) A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O DRAM with 4 × 128 I/Os using TSV based stacking, (Samsung). IEEE Journal of Solid-State Circuits, 47(1), 107.
-
(2012)
IEEE Journal of Solid-State Circuits
, vol.47
, Issue.1
, pp. 107
-
-
Kim, J.S.1
-
262
-
-
84883818673
-
Perspectives on mobile devices and their impact on semiconductor technologies
-
June, (Samsung), VLSI Circuits Symposium
-
Jeong, S.W., Cho, H.D., Hwang, J.W., and Kwon, H.K. (June 2013) Perspectives on mobile devices and their impact on semiconductor technologies, (Samsung), VLSI Circuits Symposium.
-
(2013)
-
-
Jeong, S.W.1
Cho, H.D.2
Hwang, J.W.3
Kwon, H.K.4
-
263
-
-
84927685989
-
3D implemented SRAM/DRAM hybrid cache architecture for high-performance and low power consumption
-
7 August, (Kyushu University). MWSCAS
-
Inoue, K., Hashiguchi, S., Ueno, S. et al. (7 August 2011) 3D implemented SRAM/DRAM hybrid cache architecture for high-performance and low power consumption, (Kyushu University). MWSCAS.
-
(2011)
-
-
Inoue, K.1
Hashiguchi, S.2
Ueno, S.3
-
264
-
-
84927677653
-
System-level design exploration for 3-D stacked memory architectures
-
9 October, (ITRI). CODES+ISSS, p. 389
-
Lin, C.H., Hsieh, W.T., Hsieh, H.C. et al. (9 October 2011) System-level design exploration for 3-D stacked memory architectures, (ITRI). CODES+ISSS, p. 389.
-
(2011)
-
-
Lin, C.H.1
Hsieh, W.T.2
Hsieh, H.C.3
-
265
-
-
17644378782
-
3D processing technology and its impact on iA32 microprocessors
-
11 October, (Intel), ICCD, p. 316
-
Black, B., Nelson, D.W., Webb, C., and Samra, N. (11 October 2004) 3D processing technology and its impact on iA32 microprocessors, (Intel), ICCD, p. 316.
-
(2004)
-
-
Black, B.1
Nelson, D.W.2
Webb, C.3
Samra, N.4
-
266
-
-
84927686483
-
Applications and design styles for 3DIC
-
December, (North Carolina State University, Synopsys). IEEE IEDM
-
Franzon, O. et al. (December 2013) Applications and design styles for 3DIC, (North Carolina State University, Synopsys). IEEE IEDM.
-
(2013)
-
-
Franzon, O.1
-
267
-
-
84927676434
-
3-D Computer Processor: 'Rochester Cube' Points Way To More Powerful Chip Designs
-
Science News (September 17)
-
University of Rochester. (2008) 3-D Computer Processor: 'Rochester Cube' Points Way To More Powerful Chip Designs. Science News (September 17).
-
(2008)
-
-
-
268
-
-
84927679893
-
3D-MAPS: 3D massively parallel processor with stacked memory
-
February, (Georgia IT, KAIST, Amkor). IEEE ISSCC
-
Kim, D.H. et al. (February 2012) 3D-MAPS: 3D massively parallel processor with stacked memory, (Georgia IT, KAIST, Amkor). IEEE ISSCC.
-
(2012)
-
-
Kim, D.H.1
-
269
-
-
84866544858
-
Hybrid memory cube new DRAM architecture increases density and performance
-
June, (Micron). VLSI Technology Symposium
-
Jeddeloh, J. and Keeth, B. (June 2012) Hybrid memory cube new DRAM architecture increases density and performance, (Micron). VLSI Technology Symposium.
-
(2012)
-
-
Jeddeloh, J.1
Keeth, B.2
-
270
-
-
84866617739
-
A shorted global clock design for multi-GHz 3D stacked chips
-
June, (IBM). VLSI Circuits Symposium
-
Pang, L.T., Restle, P.J., Wordeman, M.R. et al. (June 2012) A shorted global clock design for multi-GHz 3D stacked chips, (IBM). VLSI Circuits Symposium.
-
(2012)
-
-
Pang, L.T.1
Restle, P.J.2
Wordeman, M.R.3
-
271
-
-
84860654078
-
A 3D system prototype of an eDRAM cache stacked over processor-like logic using through-siliconvias
-
February, (IBM). IEEE ISSCC
-
Wordeman, M., Silverman, J., Maier, G., and Scheuermann, M. (February 2012) A 3D system prototype of an eDRAM cache stacked over processor-like logic using through-siliconvias, (IBM). IEEE ISSCC.
-
(2012)
-
-
Wordeman, M.1
Silverman, J.2
Maier, G.3
Scheuermann, M.4
-
272
-
-
84927685839
-
Memory architecture for integrating emerging memory technologies
-
10 October, (University of Illinois). PACT, p. 403
-
Fang, K., Zhang, Z., and Zhu, Z. (10 October 2011) Memory architecture for integrating emerging memory technologies, (University of Illinois). PACT, p. 403.
-
(2011)
-
-
Fang, K.1
Zhang, Z.2
Zhu, Z.3
-
273
-
-
84864720280
-
3D stacking: Where the rubber meets the road
-
30 May, (Qualcomm). ICICDT, p. 1
-
Changra, N., Durodami, L., and Riko, R. (30 May 2012) 3D stacking: Where the rubber meets the road, (Qualcomm). ICICDT, p. 1.
-
(2012)
-
-
Changra, N.1
Durodami, L.2
Riko, R.3
-
274
-
-
83155177008
-
Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV, (Intel)
-
Woo, D.H., Seong, N.H., and Lee, H.H.S. (2011) Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV, (Intel). IEEE Transactions on VLSI Systems, 21(1), 1.
-
(2011)
IEEE Transactions on VLSI Systems
, vol.21
, Issue.1
, pp. 1
-
-
Woo, D.H.1
Seong, N.H.2
Lee, H.H.S.3
-
275
-
-
84927681986
-
Leveraging on-chip DRAM stacking in an embedded 3D multi-core DSP system
-
7 August, (Penn State U., NTHU, ITRI). MWSCAS
-
Zhang, T. et al. (7 August 2011) Leveraging on-chip DRAM stacking in an embedded 3D multi-core DSP system (Penn State U., NTHU, ITRI). MWSCAS.
-
(2011)
-
-
Zhang, T.1
-
276
-
-
79953177459
-
1-Tbyte/s 1-Gbit DRAM architecture using 3-D interconnect for high-throughput computing, (Hitachi)
-
Sekiguchi, T., Ono, K., Kotabe, A., and Yanagawa, Y. (2011) 1-Tbyte/s 1-Gbit DRAM architecture using 3-D interconnect for high-throughput computing, (Hitachi). IEEE JSSC, 46(4), 828-837.
-
(2011)
IEEE JSSC
, vol.46
, Issue.4
, pp. 828-837
-
-
Sekiguchi, T.1
Ono, K.2
Kotabe, A.3
Yanagawa, Y.4
-
277
-
-
80052686294
-
3D integration from the viewpoint of high-end server system design
-
June, (IBM). VLSI Technology Symposium
-
Burns, J.L. (June 2011) 3D integration from the viewpoint of high-end server system design, (IBM). VLSI Technology Symposium.
-
(2011)
-
-
Burns, J.L.1
-
278
-
-
84903314336
-
Process variation aware non-uniform cache management in 3D Die stacked multicore processor
-
University of Pittsburgh
-
Zhao, B., Du, Y., Yang, J., and Zhang, Y. (2012) Process variation aware non-uniform cache management in 3D Die stacked multicore processor, (University of Pittsburgh). IEEE Transactions on Computers, PP(99), 1.
-
(2012)
IEEE Transactions on Computers
, vol.99
, pp. 1
-
-
Zhao, B.1
Du, Y.2
Yang, J.3
Zhang, Y.4
-
279
-
-
79959768185
-
Stacking magnetic random access memory atop microprocessors: an architecture-level evaluation, (Penn State Unversity)
-
Dong, X., Wu, X., Xie, Y. et al. (2011) Stacking magnetic random access memory atop microprocessors: an architecture-level evaluation, (Penn State Unversity). IET Computers & Digital Techniques, 5(3), 213.
-
(2011)
IET Computers & Digital Techniques
, vol.5
, Issue.3
, pp. 213
-
-
Dong, X.1
Wu, X.2
Xie, Y.3
-
280
-
-
84866628728
-
x11 Performance increase, x 6.9 endurance enhancement, 93% energy reduction of 3D TSV-integrated hybrid ReRAM/MLC NAND SSD by data fragmentation suppression
-
June, (University of Tokyo). VLSI Circuits Symposium
-
Fujii, H. and Miyaji, K. (June 2012) x11 Performance increase, x 6.9 endurance enhancement, 93% energy reduction of 3D TSV-integrated hybrid ReRAM/MLC NAND SSD by data fragmentation suppression, (University of Tokyo). VLSI Circuits Symposium.
-
(2012)
-
-
Fujii, H.1
Miyaji, K.2
-
281
-
-
84927681155
-
Green high performance storage class memory & NAND flash memory hybrid SSD system
-
August, (University of Tokyo). ISLPED
-
Takeuchi, K. (August 2011) Green high performance storage class memory & NAND flash memory hybrid SSD system, (University of Tokyo). ISLPED.
-
(2011)
-
-
Takeuchi, K.1
-
282
-
-
84927678784
-
Analysis of propagation delay in 3-D stacked DRAM
-
20 May, (University of Alabama, University of Ulsan, Yeungnam University). ISCAS
-
Kannan, S., Kim, B., Cho, S.B., and Ahn, B. (20 May 2012) Analysis of propagation delay in 3-D stacked DRAM, (University of Alabama, University of Ulsan, Yeungnam University). ISCAS.
-
(2012)
-
-
Kannan, S.1
Kim, B.2
Cho, S.B.3
Ahn, B.4
-
283
-
-
84927676573
-
A case study: 3-D stacked memory system architecture exploration by ESL virtual platform
-
22 April, (ITRI). VLSI DAT
-
Ching, H.H. et al. (22 April 2013) A case study: 3-D stacked memory system architecture exploration by ESL virtual platform, (ITRI). VLSI DAT.
-
(2013)
-
-
Ching, H.H.1
-
284
-
-
84968818422
-
A quantitative analysis of performance benefits of 3D die stacking on mobile and embedded SoC
-
14 March, (Postech). DATE
-
Kim, D., Yoo, S., Lee, S. et al. (14 March 2011) A quantitative analysis of performance benefits of 3D die stacking on mobile and embedded SoC, (Postech). DATE, pp. 1-6.
-
(2011)
, pp. 1-6
-
-
Kim, D.1
Yoo, S.2
Lee, S.3
-
285
-
-
51349111449
-
Silicon interposer with TSVs (through silicon vias) and fine multilayer wiring
-
27 May, (Shinko). ECTC, p. 847
-
Sunohara, M., Tokunaga, T., Kurihara, T., and Higashi, M. (27 May 2008) Silicon interposer with TSVs (through silicon vias) and fine multilayer wiring, (Shinko). ECTC, p. 847.
-
(2008)
-
-
Sunohara, M.1
Tokunaga, T.2
Kurihara, T.3
Higashi, M.4
-
286
-
-
84856433796
-
Assembling 2-D blocks into 3-D chips, (Dresden University of Technology)
-
Knechtel, J. (2012) Assembling 2-D blocks into 3-D chips, (Dresden University of Technology). IEEE Transactions on CAD of IC & Systems, 31(2), 228.
-
(2012)
IEEE Transactions on CAD of IC & Systems
, vol.31
, Issue.2
, pp. 228
-
-
Knechtel, J.1
-
287
-
-
84927685203
-
Memory and system architecture for 400 Gb/s networking and beyond
-
February, (Cypress Semiconductor). IEEE ISSCC
-
Masheshwari, D. (February 2014) Memory and system architecture for 400 Gb/s networking and beyond, (Cypress Semiconductor). IEEE ISSCC.
-
(2014)
-
-
Masheshwari, D.1
-
288
-
-
84927683436
-
3D ICs with TSVs-design challenges and requirements
-
December, White paper posted at
-
Cadence (December 2012) 3D ICs with TSVs-design challenges and requirements. White paper posted at http:// www.cadence.com.
-
(2012)
-
-
-
289
-
-
84930276824
-
TSV interposer: the most cost-effective integrator for 3D IC integration
-
21 May, (ITRI). ASME Interpack
-
Lau, J.H. (21 May 2011) TSV interposer: the most cost-effective integrator for 3D IC integration, (ITRI). ASME Interpack.
-
(2011)
-
-
Lau, J.H.1
-
290
-
-
84866879214
-
Development of through silicon via (TSV) interposer for memory module flip chip package
-
29 May, (Siliconware Precision Ind. Co.). ECTC, p. 1461
-
Kao, N., Chen, E., Lee, D., and Ma, M. (29 May 2012) Development of through silicon via (TSV) interposer for memory module flip chip package, (Siliconware Precision Ind. Co.). ECTC, p. 1461.
-
(2012)
-
-
Kao, N.1
Chen, E.2
Lee, D.3
Ma, M.4
-
291
-
-
84883352491
-
System scaling and collaborative open innovation
-
June, (TSMC). VLSI Technology Symposium
-
Sun, J.Y.C. (June 2013) System scaling and collaborative open innovation, (TSMC). VLSI Technology Symposium.
-
(2013)
-
-
Sun, J.Y.C.1
-
292
-
-
84927688306
-
GlobalFoundries Installs Gear for 20-nm TSVs
-
EE Times (April 6)
-
Merritt, R. (2012) GlobalFoundries Installs Gear for 20-nm TSVs. EE Times (April 6).
-
(2012)
-
-
Merritt, R.1
-
294
-
-
84876157037
-
Thinning, stacking, and TSV proximity effects for poly and high-κ/metal gate CMOS devices in an advanced 3D integration process
-
December, (TSMC). IEDM
-
Lo, T. et al. (December 2012) Thinning, stacking, and TSV proximity effects for poly and high-κ/metal gate CMOS devices in an advanced 3D integration process, (TSMC). IEDM.
-
(2012)
-
-
Lo, T.1
-
295
-
-
84927683706
-
Technology-circuit convergence for full-SOC platform in 28 nm and beyond
-
5 December, (STMicroelectronics Crolles). IEDM
-
Arnaud, F. et al. (5 December 2011) Technology-circuit convergence for full-SOC platform in 28 nm and beyond, (STMicroelectronics Crolles). IEDM.
-
(2011)
-
-
Arnaud, F.1
-
296
-
-
84876113297
-
The evolution of dense embedded memory in high performance logic technologies
-
December, (IBM). IEDM
-
Iyer, S.S. (December 2012) The evolution of dense embedded memory in high performance logic technologies, (IBM). IEDM.
-
(2012)
-
-
Iyer, S.S.1
-
297
-
-
84927682419
-
3D stackable 32 nm high-κ/metal gate SOI embedded DRAM prototype
-
June, (IBM). VLSI Circuits Symposium
-
Golz, J. et al. (June 2011) 3D stackable 32 nm high-κ/metal gate SOI embedded DRAM prototype, (IBM). VLSI Circuits Symposium.
-
(2011)
-
-
Golz, J.1
-
298
-
-
84875691259
-
Advances, challenges and opportunities in 3D CMOS sequential integration
-
December, (CEA-LETI, STMicro, EPFL). IEEE IEDM
-
Batude, P. et al. (December 2011) Advances, challenges and opportunities in 3D CMOS sequential integration, (CEA-LETI, STMicro, EPFL). IEEE IEDM.
-
(2011)
-
-
Batude, P.1
-
299
-
-
84927678917
-
Copper through silicon via (TSV) for 3D integration
-
15 April, (IBM). IRPS
-
Kothandaraman, C. et al. (15 April 2012) Copper through silicon via (TSV) for 3D integration, (IBM). IRPS.
-
(2012)
-
-
Kothandaraman, C.1
-
300
-
-
84883379082
-
An integrated air gap structure to achieve high-performance TSV interconnects for 28 nm 3D-IC integration
-
June, (TSMC). VLSI Technology Symposium
-
Liao, E.B. et al. (June 2013) An integrated air gap structure to achieve high-performance TSV interconnects for 28 nm 3D-IC integration, (TSMC). VLSI Technology Symposium.
-
(2013)
-
-
Liao, E.B.1
-
301
-
-
84878423211
-
A high layer scalability TSV-based 3D-SRAM with semi-master-slave structure and self-times differential-TSV for high performance universal-memory-capacity platforms, (NTHU, ITRI, Fukuoka Institute)
-
Chang, M.F. et al. (2013) A high layer scalability TSV-based 3D-SRAM with semi-master-slave structure and self-times differential-TSV for high performance universal-memory-capacity platforms, (NTHU, ITRI, Fukuoka Institute). IEEE Journal of Solid-State Circuits, 48(6), 1521.
-
(2013)
IEEE Journal of Solid-State Circuits
, vol.48
, Issue.6
, pp. 1521
-
-
Chang, M.F.1
-
302
-
-
84863273766
-
CMP process development for the via-middle 3D TSV applications at 28 nm technology node
-
April, (UMC). 27th Annual Advanced Metallization Conference
-
Tsai, T.C. et al. (April 2012) CMP process development for the via-middle 3D TSV applications at 28 nm technology node, (UMC). 27th Annual Advanced Metallization Conference, vol. 92, p. 29.
-
(2012)
, vol.92
, pp. 29
-
-
Tsai, T.C.1
-
303
-
-
84885643389
-
Technical challenges in TSV integration to Si
-
27 October, (Samsung). SEMATECH Symposium Korea
-
Cho, S. (27 October 2011) Technical challenges in TSV integration to Si, (Samsung). SEMATECH Symposium Korea.
-
(2011)
-
-
Cho, S.1
-
304
-
-
84927684515
-
Tezzaron FaStack stacking technology
-
January, White paper posted at
-
Tezzaron (January 2012) Tezzaron FaStack stacking technology. White paper posted at http://www.tezzaron .com.
-
(2012)
-
-
-
305
-
-
84866557620
-
Practical implications of via-middle Cu TSV-induced stress in a 28 nm CMOS technology for wide-IO logic-memory interconnect
-
June, (Texas Instruments). VLSI Technology Symposium
-
West, J., Choi, Y.S., and Vartuli, C. (June 2012) Practical implications of via-middle Cu TSV-induced stress in a 28 nm CMOS technology for wide-IO logic-memory interconnect, (Texas Instruments). VLSI Technology Symposium.
-
(2012)
-
-
West, J.1
Choi, Y.S.2
Vartuli, C.3
-
306
-
-
84865160161
-
3D copper TSV integration, testing and reliability
-
December, (IBM). IEEE IEDM
-
Farooq, M.G. et al. (December 2011) 3D copper TSV integration, testing and reliability, (IBM). IEEE IEDM.
-
(2011)
-
-
Farooq, M.G.1
-
307
-
-
84927683706
-
Technology-circuit convergence for full-SOC platform in 28 nm and beyond
-
5 December, (STMicroelectronics Crolles). IEDM
-
Arnaud, F. et al. (5 December 2011) Technology-circuit convergence for full-SOC platform in 28 nm and beyond, (STMicroelectronics Crolles). IEDM.
-
(2011)
-
-
Arnaud, F.1
-
308
-
-
84875163754
-
Exploration and optimization of 3-D integrated DRAM subsystems, (University of Kaiserslautern, University of Bologna)
-
Weis, C., Loi, I., Benini, L., and Wehn, N. (2013) Exploration and optimization of 3-D integrated DRAM subsystems, (University of Kaiserslautern, University of Bologna). IEEE Transactions on CAD of ICs and Systems, 32(4), 597.
-
(2013)
IEEE Transactions on CAD of ICs and Systems
, vol.32
, Issue.4
, pp. 597
-
-
Weis, C.1
Loi, I.2
Benini, L.3
Wehn, N.4
-
309
-
-
84862093067
-
An energy efficient DRAM subsystem for 3D integrated SoCs
-
12 March, (TU Kaiserslautern). DATE, p. 1138
-
Weis, C., Loi, L., Benini, L., and Wehn, N. (12 March 2012) An energy efficient DRAM subsystem for 3D integrated SoCs, (TU Kaiserslautern). DATE, p. 1138.
-
(2012)
-
-
Weis, C.1
Loi, L.2
Benini, L.3
Wehn, N.4
-
310
-
-
84885625784
-
CACTI-3DD architecture-level modeling for 3D diestacked DRAM main memory
-
12 March, (HP Labs). DATE
-
Chen, K., Li, S., Muralimanohar, N. et al. (12 March 2012) CACTI-3DD architecture-level modeling for 3D diestacked DRAM main memory, (HP Labs). DATE.
-
(2012)
-
-
Chen, K.1
Li, S.2
Muralimanohar, N.3
-
311
-
-
84862078627
-
Analysis and runtime management of 3D systems with stacked DRAM for boosting energy efficiency
-
12 March, (Boston University), DATE, p. 611
-
Meng, J. and Coskun, A.K. (12 March 2012) Analysis and runtime management of 3D systems with stacked DRAM for boosting energy efficiency, (Boston University), DATE, p. 611.
-
(2012)
-
-
Meng, J.1
Coskun, A.K.2
-
312
-
-
84866848204
-
Co-design and optimization of a 256-GB/s 3D IC package with a controller and stacked DRAM
-
29 May, (Rambus). ECTC, p. 857
-
Secker, D., Ji, M., Wilson, J. et al. (29 May 2012) Co-design and optimization of a 256-GB/s 3D IC package with a controller and stacked DRAM, (Rambus). ECTC, p. 857.
-
(2012)
-
-
Secker, D.1
Ji, M.2
Wilson, J.3
-
313
-
-
84927677735
-
Heterogeneous die stacking of SRAM row cache and 3-D DRAM: An empirical design evaluation
-
7 August, (Intel, Georgia IT). MWSCAS
-
Woo, D.H., Seong, N.H., and Lee, H.H.S. (7 August 2011) Heterogeneous die stacking of SRAM row cache and 3-D DRAM: An empirical design evaluation, (Intel, Georgia IT). MWSCAS.
-
(2011)
-
-
Woo, D.H.1
Seong, N.H.2
Lee, H.H.S.3
-
314
-
-
79960875518
-
Thermal-aware energy minimization of 3D-stacked L3 cache with error rate limitation
-
15 May, (KAIST). ISCAS
-
Yun, W., Kang, K., and Kyung, C.M. (15 May 2011) Thermal-aware energy minimization of 3D-stacked L3 cache with error rate limitation, (KAIST). ISCAS, pp. 1672-1675.
-
(2011)
, pp. 1672-1675
-
-
Yun, W.1
Kang, K.2
Kyung, C.M.3
-
315
-
-
83155177008
-
Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV, (Intel, Georgia IT)
-
Woo, D.H., Seong, N.H., and Lee, H.H.S. (2013) Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV, (Intel, Georgia IT). IEEE Transactions on VLSI Systems, 21(1), 1.
-
(2013)
IEEE Transactions on VLSI Systems
, vol.21
, Issue.1
, pp. 1
-
-
Woo, D.H.1
Seong, N.H.2
Lee, H.H.S.3
-
316
-
-
84919641763
-
Cooling three-dimensional integrated circuits using power delivery networks
-
December, (Stanford University, Monolithic 3D, Rambus). IEDM
-
Wei, H., Wu, T.F., Sekar, D. et al. (December 2012) Cooling three-dimensional integrated circuits using power delivery networks, (Stanford University, Monolithic 3D, Rambus). IEDM.
-
(2012)
-
-
Wei, H.1
Wu, T.F.2
Sekar, D.3
-
317
-
-
84875903904
-
Hybrid modeling and analysis of different through-silicon-via (TSV)-based 3D power distribution networks
-
December, (Rensselaer Polytech). IEDM
-
Xu, Z. and Lu, J.Q. (December 2012) Hybrid modeling and analysis of different through-silicon-via (TSV)-based 3D power distribution networks, (Rensselaer Polytech). IEDM.
-
(2012)
-
-
Xu, Z.1
Lu, J.Q.2
-
318
-
-
84863557383
-
Centip3De:A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores
-
February, (University of Michigan). IEEE ISSCC
-
Fick, D. et al. (February 2012) Centip3De:A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores, (University of Michigan). IEEE ISSCC.
-
(2012)
-
-
Fick, D.1
-
319
-
-
84866859437
-
Numerical and experimental characterization of the thermal behavior of a packaged DRAM-on-logic stack
-
29 May, (IMEC). ECTC, p. 1081
-
Oprins, H., Cherman, V., Vandevelde, B. et al. (29 May 2012) Numerical and experimental characterization of the thermal behavior of a packaged DRAM-on-logic stack, (IMEC). ECTC, p. 1081.
-
(2012)
-
-
Oprins, H.1
Cherman, V.2
Vandevelde, B.3
-
320
-
-
84866847013
-
Thermal evaluation and analyses of 3D IC integration SiP with TSVs for network system applications
-
29 May, (ITRI). ECTC, p. 1866
-
Chien, H.C. et al. (29 May 2012) Thermal evaluation and analyses of 3D IC integration SiP with TSVs for network system applications, (ITRI). ECTC, p. 1866.
-
(2012)
-
-
Chien, H.C.1
-
321
-
-
80455168169
-
DRAM-on-logic stack-calibrated thermal and mechanical models integrated into pathfinding flow
-
19 September, (IMEC). CICC
-
Milojevic, D., Oprins, H., Ryckaert, J. et al. (19 September 2011) DRAM-on-logic stack-calibrated thermal and mechanical models integrated into pathfinding flow, (IMEC). CICC.
-
(2011)
-
-
Milojevic, D.1
Oprins, H.2
Ryckaert, J.3
-
322
-
-
84927678326
-
Exploring performance, power, and temperature characteristics of 3D systems with on-chip DRAM
-
25 July, (Boston University). IGCC, p. 1
-
Meng, J., Rossell, D., and Coskun, A.K. (25 July 2011) Exploring performance, power, and temperature characteristics of 3D systems with on-chip DRAM, (Boston University). IGCC, p. 1.
-
(2011)
-
-
Meng, J.1
Rossell, D.2
Coskun, A.K.3
-
323
-
-
84862078627
-
Analysis and runtime management of 3D systems with stacked DRAM for boosting energy efficiency
-
12 March, (Boston University), DATE, p. 611
-
Meng, J. and Coskun, A.K. (12 March 2012) Analysis and runtime management of 3D systems with stacked DRAM for boosting energy efficiency, (Boston University), DATE, p. 611.
-
(2012)
-
-
Meng, J.1
Coskun, A.K.2
-
324
-
-
84878641551
-
Performance and robustness of 3-D integrated SRAM considering tier-to-tier thermal and supply crosstalk, (Georgia Institute of Technology)
-
Yueh, W., Chatterjee, S., Trivedi, A.R., and Mukhopadhyay, S. (2013) Performance and robustness of 3-D integrated SRAM considering tier-to-tier thermal and supply crosstalk, (Georgia Institute of Technology). IEEE Transactions on Components Packaging and Manufacturing Technology, 3(6).
-
(2013)
IEEE Transactions on Components Packaging and Manufacturing Technology
, vol.3
, Issue.6
-
-
Yueh, W.1
Chatterjee, S.2
Trivedi, A.R.3
Mukhopadhyay, S.4
-
325
-
-
84883766529
-
3D IC heterogeneous integration of GPS RF receiver, baseband and DRAM on CoWoS with system BIST solution
-
June, (TSMC), VLSI Circuits Symposium
-
Liao, W.S. et al. (June 2013) 3D IC heterogeneous integration of GPS RF receiver, baseband and DRAM on CoWoS with system BIST solution, (TSMC), VLSI Circuits Symposium.
-
(2013)
-
-
Liao, W.S.1
-
326
-
-
84875145185
-
A built-in self-repair scheme for 3-D RAMs with interdie redundancy, (TSMC, Nat. Central U.)
-
Chou, C.W., Huang, Y.J., and Li, J.F. (2013) A built-in self-repair scheme for 3-D RAMs with interdie redundancy, (TSMC, Nat. Central U.). IEEE Transactions on CAD of ICs and Systems, 32(4), 572.
-
(2013)
IEEE Transactions on CAD of ICs and Systems
, vol.32
, Issue.4
, pp. 572
-
-
Chou, C.W.1
Huang, Y.J.2
Li, J.F.3
-
327
-
-
84927676806
-
Allocation of RAM built-in self-repair circuits for SOC dies of 3D ICs
-
April, (National Central University), VLSI Test Symposium
-
Hou, C.S. and Li, J.F. (April 2013) Allocation of RAM built-in self-repair circuits for SOC dies of 3D ICs, (National Central University), VLSI Test Symposium.
-
(2013)
-
-
Hou, C.S.1
Li, J.F.2
-
328
-
-
84881347523
-
Efficient test and repair architectures for 3D TSV-based random access memories
-
April, (National Taiwan University of Science and Technology), VLSI-DAT
-
Lu, S.K., Lu, U.C., Pong, S.W., and Cheng, H.C. (April 2013) Efficient test and repair architectures for 3D TSV-based random access memories, (National Taiwan University of Science and Technology), VLSI-DAT.
-
(2013)
-
-
Lu, S.K.1
Lu, U.C.2
Pong, S.W.3
Cheng, H.C.4
-
329
-
-
84883454199
-
Reactivation of spares for off-chip memory repair after die stacking in a 3-D IC with TSVs, (ITRI)
-
Chou, Y.F., Kwai, D.M., Shieh, M.D., and Wu, C.W. (2013) Reactivation of spares for off-chip memory repair after die stacking in a 3-D IC with TSVs, (ITRI). IEEE Transactions on Circuits and Systems, 60(9).
-
(2013)
IEEE Transactions on Circuits and Systems
, vol.60
, Issue.9
-
-
Chou, Y.F.1
Kwai, D.M.2
Shieh, M.D.3
Wu, C.W.4
-
330
-
-
84927678126
-
Process variation aware DRAM design using block based adaptive body biasing algorithm
-
19 March, (Utah State University). ISQED
-
Desai, S., Roy, S., and Chakraborty, K. (19 March 2012) Process variation aware DRAM design using block based adaptive body biasing algorithm, (Utah State University). ISQED.
-
(2012)
-
-
Desai, S.1
Roy, S.2
Chakraborty, K.3
-
331
-
-
84927679222
-
A 283.2 uW 800 Mb/s/pin DLL-based data self-aligner for through-silicon via (TSV) interface
-
February, (Korea University, Hynix). IEEE ISSCC
-
Lee, H.W. et al. (February 2012) A 283.2 uW 800 Mb/s/pin DLL-based data self-aligner for through-silicon via (TSV) interface, (Korea University, Hynix). IEEE ISSCC.
-
(2012)
-
-
Lee, H.W.1
-
332
-
-
84874588191
-
A 247 uW 800 Mb/s/pin DLL-based data self-aligner for through silicon via (TSV) interface, (SK Hynix, Korea University of Seoul)
-
Lim, S.B., Lee, H.W., Song, H., and Kim, C. (2013) A 247 uW 800 Mb/s/pin DLL-based data self-aligner for through silicon via (TSV) interface, (SK Hynix, Korea University of Seoul). IEEE Journal of Solid-State Circuits, 48(3), 711.
-
(2013)
IEEE Journal of Solid-State Circuits
, vol.48
, Issue.3
, pp. 711
-
-
Lim, S.B.1
Lee, H.W.2
Song, H.3
Kim, C.4
-
333
-
-
84864232902
-
Impedance of power distribution networks in TSV-based 3D-ICs
-
12 December, (KAIST). EDAPS, p. 1
-
Kim, K., Pack, J.S., Kim, H. et al. (12 December 2011) Impedance of power distribution networks in TSV-based 3D-ICs, (KAIST). EDAPS, p. 1.
-
(2011)
-
-
Kim, K.1
Pack, J.S.2
Kim, H.3
-
334
-
-
84927685475
-
Through-silicon-via-based double-side integrated microsystem for neural sensing applications
-
February, (National Chiao Tung University, China Medical University, Advanced Semiconductor Engineering Group). IEEE ISSCC
-
Chang, C.W. et al. (February 2013) Through-silicon-via-based double-side integrated microsystem for neural sensing applications, (National Chiao Tung University, China Medical University, Advanced Semiconductor Engineering Group). IEEE ISSCC.
-
(2013)
-
-
Chang, C.W.1
-
335
-
-
84883375174
-
A New guard-ring technique to reduce coupling noise from through silicon via (TSV) utilizing inversion charge induced by interface charge
-
June, (SK Hynix, Seoul National University). VLSI Technology Symposium
-
Kim, K.D. et al. (June 2013)A New guard-ring technique to reduce coupling noise from through silicon via (TSV) utilizing inversion charge induced by interface charge, (SK Hynix, Seoul National University). VLSI Technology Symposium.
-
(2013)
-
-
Kim, K.D.1
-
336
-
-
79960988678
-
Design techniques to facilitate processor power delivery in 3-D processor-DRAM integrated systems, (Rensselaer PI)
-
Wu, Q. and Zhang, T. (2011) Design techniques to facilitate processor power delivery in 3-D processor-DRAM integrated systems, (Rensselaer PI). IEEE Transactions on VLSI Systems, 19(9), 1655.
-
(2011)
IEEE Transactions on VLSI Systems
, vol.19
, Issue.9
, pp. 1655
-
-
Wu, Q.1
Zhang, T.2
-
337
-
-
84860909009
-
Decoupling capacitance for the power integrity of 3D-DRAM-over-logic system
-
7 December, (KTH, Kista). IEEE Electronics Packaging Technology Conference, p. 590
-
Ahmad, W., Chen, Q., Zheng, L.R., and Tenhunen, H. (7 December 2011) Decoupling capacitance for the power integrity of 3D-DRAM-over-logic system, (KTH, Kista). IEEE Electronics Packaging Technology Conference, p. 590.
-
(2011)
-
-
Ahmad, W.1
Chen, Q.2
Zheng, L.R.3
Tenhunen, H.4
-
338
-
-
84897752971
-
Impact of through silicon via induced mechanical stress on fully depleted bulk FinFET technology
-
December, (IMEC, KU Leuven). IEDM
-
Guo, W. et al. (December 2012) Impact of through silicon via induced mechanical stress on fully depleted bulk FinFET technology, (IMEC, KU Leuven). IEDM.
-
(2012)
-
-
Guo, W.1
-
339
-
-
84881448756
-
Minimizing the local deformation induced around Cu-TSVs and CuSn/ InAu-microbumps in high-density 3D-LSIs
-
December, (NICHe, ASET, Tohoku University). IEE IEDM
-
Murugesan, M. et al. (December 2012) Minimizing the local deformation induced around Cu-TSVs and CuSn/ InAu-microbumps in high-density 3D-LSIs, (NICHe, ASET, Tohoku University). IEE IEDM.
-
(2012)
-
-
Murugesan, M.1
-
340
-
-
84866557620
-
Practical implications of via-middle Cu TSV-induced stress in a 28 nm CMOS technology for wide-IO logic-memory interconnect
-
June, (Texas Instruments). VLSI Technology Symposium
-
West, J., Choi, Y.S., and Vartuli, C. (June 2012) Practical implications of via-middle Cu TSV-induced stress in a 28 nm CMOS technology for wide-IO logic-memory interconnect, (Texas Instruments). VLSI Technology Symposium.
-
(2012)
-
-
West, J.1
Choi, Y.S.2
Vartuli, C.3
-
341
-
-
84927688563
-
Revisiting the silicon-lattice in the high-density 3D LSIs in the perspective of device reliability
-
December, (NICHe). IEEE IEDM
-
Murugesan, M., Fukushima, T., Bea, J.C. et al. (December 2013) Revisiting the silicon-lattice in the high-density 3D LSIs in the perspective of device reliability, (NICHe). IEEE IEDM.
-
(2013)
-
-
Murugesan, M.1
Fukushima, T.2
Bea, J.C.3
-
342
-
-
84927684130
-
Characterization and reliability of 3D LSI and SIP
-
December, (Tohoku University). IEEE IEDM
-
Lee, K.W., Murugesan, M., Beal, J. et al. (December 2013) Characterization and reliability of 3D LSI and SIP, (Tohoku University). IEEE IEDM.
-
(2013)
-
-
Lee, K.W.1
Murugesan, M.2
Beal, J.3
-
343
-
-
84927682123
-
Copper through silicon via induced keep out zone for 10 nm node bulk FinFET CMOS technology
-
December, (IMEC, Synopsys). IEEE IEDM
-
Guo, W. et al. (December 2013) Copper through silicon via induced keep out zone for 10 nm node bulk FinFET CMOS technology, (IMEC, Synopsys). IEEE IEDM.
-
(2013)
-
-
Guo, W.1
-
344
-
-
84927686815
-
A 100 GB/s wide I/O with 4096b TSVs through an active silicon interposer with in-place waveform capturing
-
February, (Kobe University, ASAET). IEEE ISSCC
-
Takaya, S. et al. (February 2013) A 100 GB/s wide I/O with 4096b TSVs through an active silicon interposer with in-place waveform capturing, (Kobe University, ASAET). IEEE ISSCC.
-
(2013)
-
-
Takaya, S.1
-
345
-
-
84927680746
-
Nonvolatile 3D-FPGA with monolithocally stacked RRAM-based configuration memory
-
February, (Stanford University). IEEE ISSCC
-
Liauw, Y.Y., Zhang, Z., Kim, W. et al. (February 2012) Nonvolatile 3D-FPGA with monolithocally stacked RRAM-based configuration memory, (Stanford University). IEEE ISSCC.
-
(2012)
-
-
Liauw, Y.Y.1
Zhang, Z.2
Kim, W.3
-
346
-
-
84927686409
-
High-performance on-chip network platform for memory-on-processor architectures
-
20 June, (University of Turku). ReCoSoC
-
Daneshtalab, M., Ebrahimi, M., Liljeberg, P. et al. (20 June 2011) High-performance on-chip network platform for memory-on-processor architectures, (University of Turku). ReCoSoC.
-
(2011)
-
-
Daneshtalab, M.1
Ebrahimi, M.2
Liljeberg, P.3
-
347
-
-
84927687109
-
Mitigating partitioning, routing, and yield concerns in 3D ICs by multiplexing TSVs
-
4 July, (University of Massachusetts). ISVLSI
-
Buttrick, M. and Kundu, S. (4 July 2011) Mitigating partitioning, routing, and yield concerns in 3D ICs by multiplexing TSVs, (University of Massachusetts). ISVLSI.
-
(2011)
-
-
Buttrick, M.1
Kundu, S.2
-
348
-
-
84927682832
-
Automatic feedback control of shared hybrid caches in 3D chip multiprocessors
-
9 February, (Pennsylvania State University). PDP, p. 393
-
Sharifi, A. and Kandemir, M. (9 February 2011) Automatic feedback control of shared hybrid caches in 3D chip multiprocessors, (Pennsylvania State University). PDP, p. 393.
-
(2011)
-
-
Sharifi, A.1
Kandemir, M.2
-
349
-
-
80052913208
-
FPGA based on integration of CMOS and RRAM, (University Of Albany)
-
September
-
Tanachutiwat, S., Liu, M., and Wang, W. (September 2010) FPGA based on integration of CMOS and RRAM, (University Of Albany). IEEE Transactions on VLSI Systems, 19(11), 2023.
-
(2010)
IEEE Transactions on VLSI Systems
, vol.19
, Issue.11
, pp. 2023
-
-
Tanachutiwat, S.1
Liu, M.2
Wang, W.3
-
350
-
-
73249115551
-
A chip-stacked memory for on-chip SRAM-rich SoCs and processors, (NEC)
-
Saito, H. et al. (2010) A chip-stacked memory for on-chip SRAM-rich SoCs and processors, (NEC). IEEE Journal of Solid-State Circuits, 45(1), 15.
-
(2010)
IEEE Journal of Solid-State Circuits
, vol.45
, Issue.1
, pp. 15
-
-
Saito, H.1
-
351
-
-
84866560575
-
Near-field wireless connection for 3D-system integration
-
June, (Keio Univniversity). VLSI Technology Symposium
-
Kuroda, T. (June 2012) Near-field wireless connection for 3D-system integration, (Keio Univniversity). VLSI Technology Symposium.
-
(2012)
-
-
Kuroda, T.1
-
352
-
-
84927680309
-
A 2 Gb/s 15pJ/b/chip inductive-coupling programmable bus for NAND flash memory stacking
-
February, (Keio University, University of Tokyo). IEEE ISSCC
-
Sugimori, Y. et al. (February 2009) A 2 Gb/s 15pJ/b/chip inductive-coupling programmable bus for NAND flash memory stacking, (Keio University, University of Tokyo). IEEE ISSCC.
-
(2009)
-
-
Sugimori, Y.1
-
353
-
-
84927684861
-
A 1.8 V 30 nJ adaptive program-voltage (20 V) generator for 3D-integrated NAND flash SSD
-
February, (University of Tokyo, Toshiba). IEEE ISSCC
-
Ishida, K. et al. (February 2009) A 1.8 V 30 nJ adaptive program-voltage (20 V) generator for 3D-integrated NAND flash SSD, (University of Tokyo, Toshiba). IEEE ISSCC.
-
(2009)
-
-
Ishida, K.1
-
354
-
-
84927680932
-
A 2 Gb.s 1.8pJ/b/chip inductive-coupling through chip bus for 128-die NAND-flash memory stacking
-
Feb., (Keio University). IEEE ISSCC
-
Saito, M., Miur, N., and Kuroda, T. (Feb. 2010) A 2 Gb.s 1.8pJ/b/chip inductive-coupling through chip bus for 128-die NAND-flash memory stacking, (Keio University). IEEE ISSCC.
-
(2010)
-
-
Saito, M.1
Miur, N.2
Kuroda, T.3
-
355
-
-
73249143892
-
2 Gb/s 15 pJ/b/chip inductive-coupling programmable bus for NAND flash memory stacking, (Keio University, University of Tokyo)
-
Saito, M. et al. (2010) 2 Gb/s 15 pJ/b/chip inductive-coupling programmable bus for NAND flash memory stacking, (Keio University, University of Tokyo). IEEE Journal of Solid-State Circuits, 45(1), 134.
-
(2010)
IEEE Journal of Solid-State Circuits
, vol.45
, Issue.1
, pp. 134
-
-
Saito, M.1
-
356
-
-
77957749589
-
47% power reduction and 91% area reduction in inductivecoupling programmable bus for NAND flash memory stacking, (Keio University)
-
Saito, M., Yoshida, Y., Miura, N. et al. (2010) 47% power reduction and 91% area reduction in inductivecoupling programmable bus for NAND flash memory stacking, (Keio University). IEEE Transactions on Circuits and Systems, 57(9), 2269.
-
(2010)
IEEE Transactions on Circuits and Systems
, vol.57
, Issue.9
, pp. 2269
-
-
Saito, M.1
Yoshida, Y.2
Miura, N.3
-
357
-
-
84927681846
-
ThruChip interface (TCI) for 3D integration of low-power system
-
December, (Keio University). IEDM
-
Kuroda, T. (December 2010) ThruChip interface (TCI) for 3D integration of low-power system, (Keio University). IEDM.
-
(2010)
-
-
Kuroda, T.1
-
358
-
-
79955737128
-
2 0.9pJ/b/chip 1-coil/channel ThruChip interface with coupled-resonator-based CDR for NAND flash memory stacking
-
February, (Keio University), IEEE ISSCC
-
2 0.9pJ/b/chip 1-coil/channel ThruChip interface with coupled-resonator-based CDR for NAND flash memory stacking, (Keio University), IEEE ISSCC.
-
(2011)
-
-
Miura, N.1
Take, Y.2
Saito, M.3
-
359
-
-
77950219393
-
3-D system integration of processor and multi-stacked SRAMs using inductive-coupling link, (Hitachi, Renesas, Keio University)
-
Saen, M. (2010) 3-D system integration of processor and multi-stacked SRAMs using inductive-coupling link, (Hitachi, Renesas, Keio University). IEEE Journal of Solid-State Circuits, 45(4), 856.
-
(2010)
IEEE Journal of Solid-State Circuits
, vol.45
, Issue.4
, pp. 856
-
-
Saen, M.1
-
360
-
-
84890445464
-
Engineering a bandwidth-scalable optical layer for a 3D multi-core processor with awareness of layout constraints
-
9 May, (University of Ferrara, Columbia University). NOCS
-
Ramini, L., Bertozzi, D., and Carloni, L.P. (9 May 2012) Engineering a bandwidth-scalable optical layer for a 3D multi-core processor with awareness of layout constraints, (University of Ferrara, Columbia University). NOCS.
-
(2012)
-
-
Ramini, L.1
Bertozzi, D.2
Carloni, L.P.3
-
361
-
-
84909972835
-
A multi-wavelength 3D-compatible silicon photonics platform on 300 mm SOI wafers for 25Gv/s applications
-
December, (STMicroelectronics, Luxtera). IEEE IEDM
-
Boeuf, F. et al. (December 2013) A multi-wavelength 3D-compatible silicon photonics platform on 300 mm SOI wafers for 25Gv/s applications, (STMicroelectronics, Luxtera). IEEE IEDM.
-
(2013)
-
-
Boeuf, F.1
|