-
1
-
-
80052655097
-
3D integration for energy efficient system design
-
S. Borkar, "3D integration for energy efficient system design," in Proc. Des. Automat. Conf., 2011, pp. 214-219.
-
(2011)
Proc. Des. Automat. Conf.
, pp. 214-219
-
-
Borkar, S.1
-
3
-
-
84856499431
-
-
Inc, Online. Available
-
Global Industry Analysts, Inc. (2010). 3D Chips (3D IC): A Global Market Report [Online]. Available: http://www.prweb.com/releases/3D chips/3D IC/prweb4400904.htm
-
(2010)
3D Chips (3D IC): A Global Market Report
-
-
-
4
-
-
84861453586
-
Floorplanning for 3-D VLSI design
-
L. Cheng, L. Deng, and M. D. F. Wong, "Floorplanning for 3-D VLSI design," in Proc. Asia South Pacific Des. Automat. Conf., 2005, pp. 405-411.
-
(2005)
Proc. Asia South Pacific Des. Automat. Conf.
, pp. 405-411
-
-
Cheng, L.1
Deng, L.2
Wong, M.D.F.3
-
5
-
-
16244385917
-
A thermal-driven floorplanning algorithm for 3D ICs
-
4C.3, ICCAD-2004 - IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers
-
J. Cong, J. Wei, and Y. Zhang, "A thermal-driven floorplanning algorithm for 3D ICs," in Proc. Int. Conf. Comput.-Aided Des., 2004, pp. 306-313. (Pubitemid 40449251)
-
(2004)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
, pp. 306-313
-
-
Cong, J.1
Wei, J.2
Zhang, Y.3
-
6
-
-
84856458392
-
Thermal-aware 3D floorplan
-
New York: Springer, ch. 4
-
J. Cong and Y. Ma, "Thermal-aware 3D floorplan," in Integrated Circuits and Systems. New York: Springer, 2010, ch. 4, pp. 63-102.
-
(2010)
Integrated Circuits and Systems
, pp. 63-102
-
-
Cong, J.1
Ma, Y.2
-
7
-
-
50249153041
-
3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits
-
Nov.
-
P. Zhou, Y. Ma, Z. Li, R. P. Dick, L. Shang, H. Zhou, X. Hong, and Q. Zhou, "3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits," in Proc. Int. Conf. Comput.-Aided Des., Nov. 2007, pp. 590-597.
-
(2007)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 590-597
-
-
Zhou, P.1
Ma, Y.2
Li, Z.3
Dick, R.P.4
Shang, L.5
Zhou, H.6
Hong, X.7
Zhou, Q.8
-
8
-
-
33745958184
-
Integrating dynamic thermal via planning with 3D floorplanning algorithm
-
Proceedings of ISPD'06 - 2006 International Symposium on Physical Design
-
Z. Li, X. Hong, Q. Zhao, S. Zeng, J. Bian, H. Yang, and C. K. Cheng, "Integrating dynamic thermal via planning with 3D floorplanning algorithm," in Proc. Int. Symp. Phys. Des., 2006, pp. 178-185. (Pubitemid 44059914)
-
(2006)
Proceedings of the International Symposium on Physical Design
, vol.2006
, pp. 178-185
-
-
Li, Z.1
Hong, X.2
Zhou, Q.3
Zeng, S.4
Bian, J.5
Yang, H.6
Pitchumani, V.7
Cheng, C.-K.8
-
9
-
-
64549085333
-
A novel thermal optimization flow using incremental floorplanning for 3D ICs
-
X. Li, Y. Ma, and X. Hong, "A novel thermal optimization flow using incremental floorplanning for 3D ICs," in Proc. Asia South Pacific Des. Automat. Conf., 2009, pp. 347-352.
-
(2009)
Proc. Asia South Pacific Des. Automat. Conf.
, pp. 347-352
-
-
Li, X.1
Ma, Y.2
Hong, X.3
-
10
-
-
81355145572
-
Thermal and power delivery challenges in 3D ICs
-
New York: Springer, ch. 3
-
P. Jain, P. Zhou, C. H. Kim, and S. S. Sapatnekar, "Thermal and power delivery challenges in 3D ICs," in Integrated Circuits and Systems. New York: Springer, 2010, ch. 3, pp. 33-61.
-
(2010)
Integrated Circuits and Systems
, pp. 33-61
-
-
Jain, P.1
Zhou, P.2
Kim, C.H.3
Sapatnekar, S.S.4
-
11
-
-
52949111338
-
Whitespace redistribution for thermal via insertion in 3D stacked ICs
-
E. Wong and S. K. Lim, "Whitespace redistribution for thermal via insertion in 3D stacked ICs," in Proc. Int. Conf. Comput.-Aided Des., 2007, pp. 267-272.
-
(2007)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 267-272
-
-
Wong, E.1
Lim, S.K.2
-
12
-
-
78650873482
-
Through-silicon-via management during 3D physical design: When to add and how many?
-
M. Pathak, Y.-J. Lee, T. Moon, and S. K. Lim, "Through-silicon-via management during 3D physical design: When to add and how many?" in Proc. Int. Conf. Comput.-Aided Des., 2010, pp. 387-394.
-
(2010)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 387-394
-
-
Pathak, M.1
Lee, Y.-J.2
Moon, T.3
Lim, S.K.4
-
13
-
-
77953096507
-
TSV redundancy: Architecture and design issues in 3D IC
-
A.-C. Hsieh, T.-T. Hwang, M.-T. Chang, M.-H. Tsai, C.-M. Tseng, and H.-C. Li, "TSV redundancy: Architecture and design issues in 3D IC," in Proc. Des. Automat. Test Eur., 2010, pp. 166-171.
-
(2010)
Proc. Des. Automat. Test Eur.
, pp. 166-171
-
-
Hsieh, A.-C.1
Hwang, T.-T.2
Chang, M.-T.3
Tsai, M.-H.4
Tseng, C.-M.5
Li, H.-C.6
-
14
-
-
79960997731
-
Through-silicon via planning in 3-D floorplanning
-
Aug.
-
M.-C. Tsai, T.-C. Wang, and T. T. Hwang, "Through-silicon via planning in 3-D floorplanning," IEEE Trans. Very Large Scale Integr. Syst., vol. 19, no. 8, pp. 1448-1457, Aug. 2011.
-
(2011)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.19
, Issue.8
, pp. 1448-1457
-
-
Tsai, M.-C.1
Wang, T.-C.2
Hwang, T.T.3
-
15
-
-
70349473213
-
Co-design of reliable signal and power interconnects in 3D stacked ICs
-
Y.-J. Lee, M. Healy, and S. K. Lim, "Co-design of reliable signal and power interconnects in 3D stacked ICs," in Proc. Int. Interconn. Technol. Conf., 2009, pp. 56-58.
-
(2009)
Proc. Int. Interconn. Technol. Conf.
, pp. 56-58
-
-
Lee, Y.-J.1
Healy, M.2
Lim, S.K.3
-
16
-
-
76349113557
-
A study of throughsilicon-via impact on the 3D stacked IC layout
-
D. H. Kim, K. Athikulwongse, and S. K. Lim, "A study of throughsilicon-via impact on the 3D stacked IC layout," in Proc. Int. Conf. Comput.-Aided Des., 2009, pp. 674-680.
-
(2009)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 674-680
-
-
Kim, D.H.1
Athikulwongse, K.2
Lim, S.K.3
-
17
-
-
76349097994
-
Multi-functional interconnect cooptimization for fast and reliable 3D stacked ICs
-
Y.-J. Lee, R. Goel, and S. K. Lim, "Multi-functional interconnect cooptimization for fast and reliable 3D stacked ICs," in Proc. Int. Conf. Comput.-Aided Des., 2009, pp. 645-651.
-
(2009)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 645-651
-
-
Lee, Y.-J.1
Goel, R.2
Lim, S.K.3
-
18
-
-
74549146852
-
Temperature-and costaware design of 3D multiprocessor architectures
-
A. K. Coskun, A. B. Kahng, and T. S. Rosing, "Temperature-and costaware design of 3D multiprocessor architectures," in Proc. Euromicro Conf. Digit. Syst. Des., 2009, pp. 183-190.
-
(2009)
Proc. Euromicro Conf. Digit. Syst. Des.
, pp. 183-190
-
-
Coskun, A.K.1
Kahng, A.B.2
Rosing, T.S.3
-
19
-
-
80052655341
-
TSV stress-aware fullchip mechanical reliability analysis andoptimization for 3D IC
-
Jun.
-
M. Jung, J. Mitra, D. Z. Pan, and S. K. Lim, "TSV stress-aware fullchip mechanical reliability analysis andoptimization for 3D IC," in Proc. Des. Automat. Conf., Jun. 2011, pp. 188-193.
-
(2011)
Proc. Des. Automat. Conf.
, pp. 188-193
-
-
Jung, M.1
Mitra, J.2
Pan, D.Z.3
Lim, S.K.4
-
20
-
-
57849122475
-
A low-overhead fault tolerance scheme for TSV-based 3D network on chip links
-
Nov.
-
I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, "A low-overhead fault tolerance scheme for TSV-based 3D network on chip links," in Proc. Int. Conf. Comput.-Aided Des., Nov. 2008, pp. 598-602.
-
(2008)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 598-602
-
-
Loi, I.1
Mitra, S.2
Lee, T.H.3
Fujita, S.4
Benini, L.5
-
21
-
-
77956216567
-
TSV stress aware timing analysis with applications to 3D-IC layout optimization
-
Jun.
-
J.-S. Yang, K. Athikulwongse, Y.-J. Lee, S. K. Lim, and D. Z. Pan, "TSV stress aware timing analysis with applications to 3D-IC layout optimization," in Proc. Des. Automat. Conf., Jun. 2010, pp. 803-806.
-
(2010)
Proc. Des. Automat. Conf.
, pp. 803-806
-
-
Yang, J.-S.1
Athikulwongse, K.2
Lee, Y.-J.3
Lim, S.K.4
Pan, D.Z.5
-
22
-
-
67649639133
-
3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs
-
S. Garg and D. Marculescu, "3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs," in Proc. Int. Symp. Qual. Elec. Des., 2009, pp. 147-155.
-
(2009)
Proc. Int. Symp. Qual. Elec. Des.
, pp. 147-155
-
-
Garg, S.1
Marculescu, D.2
-
23
-
-
79959237959
-
Signal integrity analysis and optimization for 3D ICs
-
C. Liu, T. Song, and S. K. Lim, "Signal integrity analysis and optimization for 3D ICs," in Proc. Int. Symp. Qual. Elec. Des., 2011, pp. 42-49.
-
(2011)
Proc. Int. Symp. Qual. Elec. Des.
, pp. 42-49
-
-
Liu, C.1
Song, T.2
Lim, S.K.3
-
24
-
-
77950787864
-
Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs
-
D. H. Kim, S. Mukhopadhyay, and S. K. Lim, "Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs," in Proc. Int. Workshop Syst.-Level Interconn. Pred., 2009, pp. 85-92.
-
(2009)
Proc. Int. Workshop Syst.-Level Interconn. Pred.
, pp. 85-92
-
-
Kim, D.H.1
Mukhopadhyay, S.2
Lim, S.K.3
-
25
-
-
33746059766
-
Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration
-
DOI 10.1145/1142155.1142159
-
Z. Li, X. Hong, Q. Zhou, J. Bian, H. H. Yang, and V. Pitchumani, "Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration," ACM Trans. Des. Automat. Elec. Syst., vol. 11, no. 2, pp. 325-345, Apr. 2006. (Pubitemid 44076232)
-
(2006)
ACM Transactions on Design Automation of Electronic Systems
, vol.11
, Issue.2
, pp. 325-345
-
-
Li, Z.1
Hong, X.2
Zhou, Q.3
Bian, J.4
Yang, H.H.5
Pitchumani, V.6
-
26
-
-
70350607965
-
Test challenges for 3D integrated circuits
-
H.-H. S. Lee and K. Chakrabarty, "Test challenges for 3D integrated circuits," Des. Test Comput., vol. 26, no. 5, pp. 26-35, 2009.
-
(2009)
Des. Test Comput.
, vol.26
, Issue.5
, pp. 26-35
-
-
Lee, S.H.-H.1
Chakrabarty, K.2
-
28
-
-
77950825018
-
From 3D circuit technologies and data structures to interconnect prediction
-
R. Fischbach, J. Lienig, and T. Meister, "From 3D circuit technologies and data structures to interconnect prediction," in Proc. Int. Workshop Syst.-Level Interconn. Pred., 2009, pp. 77-84.
-
(2009)
Proc. Int. Workshop Syst.-Level Interconn. Pred.
, pp. 77-84
-
-
Fischbach, R.1
Lienig, J.2
Meister, T.3
-
29
-
-
80052654375
-
Layout effects in finegrain 3-D integrated regular microprocessorblocks
-
V. S. Nandakumar and M. Marek-Sadowska, "Layout effects in finegrain 3-D integrated regular microprocessorblocks," in Proc. Des. Automat. Conf., 2011, pp. 639-644.
-
(2011)
Proc. Des. Automat. Conf.
, pp. 639-644
-
-
Nandakumar, V.S.1
Marek-Sadowska, M.2
-
30
-
-
0033903824
-
A global wiring paradigm for deep submicron design
-
Feb.
-
D. Sylvester and K. Keutzer, "A global wiring paradigm for deep submicron design," IEEE Trans. Comput.-Aided Des. Integr. Circuits Sys., vol. 19, no. 2, pp. 242-252, Feb. 2000.
-
(2000)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Sys.
, vol.19
, Issue.2
, pp. 242-252
-
-
Sylvester, D.1
Keutzer, K.2
-
31
-
-
84856465610
-
-
Inc, Online. Available
-
Cadence Design Systems, Inc. (2010). 3D ICs with TSVs: Design Challenges and Requirements [Online]. Available: http://www.cadence.com/rl/Resources/white papers/3DIC wp.pdf
-
(2010)
3D ICs with TSVs: Design Challenges and Requirements
-
-
-
32
-
-
34547354320
-
CAD implications of new interconnect technologies
-
DOI 10.1109/DAC.2007.375230, 4261249, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
L. K. Scheffer, "CAD implications of new interconnect technologies," in Proc. Des. Automat. Conf., 2007, pp. 576-581. (Pubitemid 47130031)
-
(2007)
Proceedings - Design Automation Conference
, pp. 576-581
-
-
Scheffer, L.K.1
-
33
-
-
76349088251
-
Layout-driven testarchitecture design and optimization for 3D SoCs under pre-bond testpin-count constraint
-
Nov.
-
L. Jiang, Q. Xu, K. Chakrabarty, and T. M. Mak, "Layout-driven testarchitecture design and optimization for 3D SoCs under pre-bond testpin-count constraint," in Proc. Int. Conf. Comput.-Aided Des., Nov. 2009, pp. 191-196.
-
(2009)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 191-196
-
-
Jiang, L.1
Xu, Q.2
Chakrabarty, K.3
Mak, T.M.4
-
34
-
-
34548359365
-
Processor design in 3D diestacking technologies
-
May-, Jun.
-
G. H. Loh, Y. Xie, and B. Black, "Processor design in 3D diestacking technologies," IEEE Micro, vol. 27, no. 3, pp. 31-48, May-Jun. 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.3
, pp. 31-48
-
-
Loh, G.H.1
Xie, Y.2
Black, B.3
-
35
-
-
50249152514
-
Strategies for improving the parametric yield and profits of 3D ICs
-
C. Ferri, S. Reda, and R. I. Bahar, "Strategies for improving the parametric yield and profits of 3D ICs," in Proc. Int. Conf. Comput.-Aided Des., 2007, pp. 220-226.
-
(2007)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 220-226
-
-
Ferri, C.1
Reda, S.2
Bahar, R.I.3
-
36
-
-
78649888644
-
Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory
-
Sep.
-
M. B. Healy, K. Athikulwongse, R. Goel, M. M. Hossain, D. H. Kim, Y.-J. Lee, D. L. Lewis, T.-W. Lin, C. Liu, M. Jung, B. Ouellette, M. Pathak, H. Sane, G. Shen, D. H. Woo, X. Zhao, G. H. Loh, H. S. Lee, and S. K. Lim, "Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory," in Proc. Custom Integr. Circuits Conf., Sep. 2010, pp. 1-4.
-
(2010)
Proc. Custom Integr. Circuits Conf.
, pp. 1-4
-
-
Healy, M.B.1
Athikulwongse, K.2
Goel, R.3
Hossain, M.M.4
Kim, D.H.5
Lee, Y.-J.6
Lewis, D.L.7
Lin, T.-W.8
Liu, C.9
Jung, M.10
Ouellette, B.11
Pathak, M.12
Sane, H.13
Shen, G.14
Woo, D.H.15
Zhao, X.16
Loh, G.H.17
Lee, H.S.18
Lim, S.K.19
-
37
-
-
70349670752
-
Thermomechanical reliability of 3-D ICs containing through silicon vias
-
May.
-
K. Lu, X. Zhang, S.-K. Ryu, J. Im, R. Huang, and P. S. Ho, "Thermomechanical reliability of 3-D ICs containing through silicon vias," in Proc. Electron. Compon. Technol. Conf., May 2009, pp. 630-634.
-
(2009)
Proc. Electron. Compon. Technol. Conf.
, pp. 630-634
-
-
Lu, K.1
Zhang, X.2
Ryu, S.-K.3
Im, J.4
Huang, R.5
Ho, P.S.6
-
38
-
-
80053645511
-
Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs
-
Feb.
-
X. Zhao, J. Minz, and S. K. Lim, "Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs," IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no. 2, pp. 247-259, Feb. 2011.
-
(2011)
IEEE Trans. Compon., Packag., Manuf. Technol.
, vol.1
, Issue.2
, pp. 247-259
-
-
Zhao, X.1
Minz, J.2
Lim, S.K.3
-
39
-
-
0005256455
-
Finding the connected components and a maximum clique of an intersection graph of rectangles in the plane
-
H. Imai and T. Asano, "Finding the connected components and a maximum clique of an intersection graph of rectangles in the plane," J. Algorith., vol. 4, no. 4, pp. 310-323, 1983.
-
(1983)
J. Algorith.
, vol.4
, Issue.4
, pp. 310-323
-
-
Imai, H.1
Asano, T.2
-
41
-
-
0019573312
-
Optimal packing and covering in the plane are NP-complete
-
DOI 10.1016/0020-0190(81)90111-3
-
R. Fowler, "Optimal packing and covering in the plane are NP-complete," Inform. Process. Lett., vol. 12, no. 3, pp. 133-137, 1981. (Pubitemid 12458892)
-
(1981)
Information Processing Letters
, vol.12
, Issue.3
, pp. 133-137
-
-
Fowler Robert, J.1
Paterson Michael, S.2
Tanimoto Steven, L.3
-
45
-
-
53849137014
-
Constraintdriven floorplan repair
-
M. D. Moffitt, J. A. Roy, I. L. Markov, and M. E. Pollack, "Constraintdriven floorplan repair," ACM Trans. Des. Automat. Electron. Syst., vol. 13, no. 4, pp. 1-13, 2008.
-
(2008)
ACM Trans. Des. Automat. Electron. Syst.
, vol.13
, Issue.4
, pp. 1-13
-
-
Moffitt, M.D.1
Roy, J.A.2
Markov, I.L.3
Pollack, M.E.4
-
46
-
-
70349437465
-
TSV-aware interconnect length and power prediction for 3D stacked ICs
-
D. H. Kim, S. Mukhopadhyay, and S. K. Lim, "TSV-aware interconnect length and power prediction for 3D stacked ICs," in Proc. Int. Interconn. Technol. Conf., 2009, pp. 26-28.
-
(2009)
Proc. Int. Interconn. Technol. Conf.
, pp. 26-28
-
-
Kim, D.H.1
Mukhopadhyay, S.2
Lim, S.K.3
-
47
-
-
80052679015
-
Applications driving 3-D integration and corresponding manufacturingchallenges
-
R. Topaloglu, "Applications driving 3-D integration and corresponding manufacturingchallenges," in Proc. Des. Automat. Conf., 2011, pp. 214-219.
-
(2011)
Proc. Des. Automat. Conf.
, pp. 214-219
-
-
Topaloglu, R.1
|