-
4
-
-
0004144182
-
A short history of gaseous electronics
-
Brown S C 1978 A short history of gaseous electronics Gaseous Electronics and Electrical Discharges vol 1 ed M N Hirsh and H J Oskam (New York: Academic) chapter 1
-
(1978)
Gaseous Electronics and Electrical Discharges
, vol.1
-
-
Brown, S.C.1
-
5
-
-
0038318160
-
Plasma-assisted etching for pattern delineation
-
Melliar-Smith C M and Mogab C J 1978 Plasma-assisted etching for pattern delineation Thin Film Processes ed J L Vossen and W Kern (New York: Academic) p 497
-
(1978)
Thin Film Processes
, pp. 497
-
-
Melliar-Smith, C.M.1
Mogab, C.J.2
-
17
-
-
61949239986
-
Plasma processing equipment and plasma-assisted deposition
-
Williams R 1990 Plasma processing equipment and plasma-assisted deposition Modern GaAs Processing Methods (Boston, MA: Artech House) chapter 9
-
(1990)
Modern GaAs Processing Methods
-
-
Williams, R.1
-
18
-
-
84940881417
-
Plasma-assisted etching
-
Lehmann H W 1991 Plasma-assisted etching Thin Film Processes II ed J L Vossen and W Kern (San Diego: Academic) p 673
-
(1991)
Thin Film Processes II
, pp. 673
-
-
Lehmann, H.W.1
-
27
-
-
61949258854
-
-
Review papers
-
Review papers
-
-
-
-
28
-
-
0018441483
-
Plasma etching-a discussion of mechanisms
-
Coburn J W and Winters H F 1979 Plasma etching-a discussion of mechanisms J. Vac. Sci. Technol. 16 391
-
(1979)
J. Vac. Sci. Technol.
, vol.16
, Issue.2
, pp. 391
-
-
Coburn, J.W.1
Winters, H.F.2
-
31
-
-
0026743719
-
Surface science aspects of etching reactions
-
Winters H F and Coburn J W 1992 Surface science aspects of etching reactions Surf. Sci. Rep. 14 161
-
(1992)
Surf. Sci. Rep.
, vol.14
, Issue.4-6
, pp. 162
-
-
Winters, H.F.1
Coburn, J.W.2
-
33
-
-
0030091527
-
A survey on the reactive ion etching of silicon in microtechnology
-
Jansen H V, Gardeniers H, de Boer M, Elwenspoek M and Fluitman J 1996 A survey on the reactive ion etching of silicon in microtechnology J. Micromech. Microeng. 6 14
-
(1996)
J. Micromech. Microeng.
, vol.6
, Issue.1
, pp. 14
-
-
Jansen, H.V.1
Gardeniers, H.2
De Boer, M.3
Elwenspoek, M.4
Fluitman, J.5
-
34
-
-
0031248332
-
Surface processes in low pressure plasmas
-
Oehrlein G S 1997 Surface processes in low pressure plasmas Surf. Sci. 386 222
-
(1997)
Surf. Sci.
, vol.386
, Issue.1-3
, pp. 222
-
-
Oehrlein, G.S.1
-
35
-
-
0032266836
-
Sidewall surface chemistry in directional etching processes
-
Oehrlein G S and Kurogi Y 1998 Sidewall surface chemistry in directional etching processes Mater. Sci. Eng. 24 153
-
(1998)
Mater. Sci. Eng.
, vol.24
, Issue.4
, pp. 153
-
-
Oehrlein, G.S.1
Kurogi, Y.2
-
37
-
-
84944488209
-
Ueber die zum Funkenübergang in Luft, Wasserstoff und Kohlensäure bei verschiedenen Drucken erforderliche Potentialdifferenz
-
Paschen F 1889 Ueber die zum Funkenübergang in Luft, Wasserstoff und Kohlensäure bei verschiedenen Drucken erforderliche Potentialdifferenz Ann. Phys. 37 69
-
(1889)
Ann. Phys.
, vol.273
, Issue.5
, pp. 69
-
-
Paschen, F.1
-
38
-
-
0009920559
-
Relationship for plasma sheaths about Langmuir probes
-
Bettinger R T and Walker E H 1965 Relationship for plasma sheaths about Langmuir probes Phys. Fluids 8 748
-
(1965)
Phys. Fluids
, vol.8
, Issue.4
, pp. 748
-
-
Bettinger, R.T.1
Walker, E.H.2
-
39
-
-
0015064228
-
Plasma diagnostics of an RF-sputtering glow discharge
-
Coburn J W and Kay E 1971 Plasma diagnostics of an RF-sputtering glow discharge Appl. Phys. Lett. 18 435
-
(1971)
Appl. Phys. Lett.
, vol.18
, Issue.10
, pp. 435
-
-
Coburn, J.W.1
Kay, E.2
-
41
-
-
36149052390
-
A new theory for breakdown to the left of Paschen minimum
-
Johnson P C and Parker A B 1971 A new theory for breakdown to the left of Paschen minimum J. Phys. D: Appl. Phys. 4 27
-
(1971)
J. Phys. D: Appl. Phys.
, vol.4
, Issue.1
, pp. 27
-
-
Johnson, P.C.1
Parker, A.B.2
-
43
-
-
0001787601
-
Breakdown of gases in uniform fields Paschen curves for nitrogen, air, and sulfur hexafluoride
-
Dakin T W, Luxa G, Oppermann G, Vigreux J, Wind G and Winkelnkemper H 1974 Breakdown of gases in uniform fields Paschen curves for nitrogen, air, and sulfur hexafluoride Electra 32 61
-
(1974)
Electra
, vol.32
, Issue.32
, pp. 61
-
-
Dakin, T.W.1
Luxa, G.2
Oppermann, G.3
Vigreux, J.4
Wind, G.5
Winkelnkemper, H.6
-
44
-
-
0017491498
-
A study of the optical emission from an RF plasma during semiconductor etching
-
Harshbarger W R, Porter R A, Miller T A and Norton P 1977 A study of the optical emission from an RF plasma during semiconductor etching Appl. Spectrosc. 31 201
-
(1977)
Appl. Spectrosc.
, vol.31
, Issue.3
, pp. 201
-
-
Harshbarger, W.R.1
Porter, R.A.2
Miller, T.A.3
Norton, P.4
-
45
-
-
0017701875
-
Plasma etching a 'pseudo-black-box' approach
-
Winters H F, Coburn J W and Kay E 1977 Plasma etching a 'pseudo-black-box' approach J. Appl. Phys. 48 4973
-
(1977)
J. Appl. Phys.
, vol.48
, Issue.12
, pp. 4973
-
-
Winters, H.F.1
Coburn, J.W.2
Kay, E.3
-
47
-
-
0018469799
-
Ion- and electron-assisted gas-surface chemistry-an important effect in plasma etching
-
Coburn J W and Winters H F 1979 Ion- and electron-assisted gas-surface chemistry-an important effect in plasma etching J. Appl. Phys. 50 3189
-
(1979)
J. Appl. Phys.
, vol.50
, Issue.5
, pp. 3189
-
-
Coburn, J.W.1
Winters, H.F.2
-
48
-
-
0019037858
-
The implication of flow-rate dependencies in plasma etching
-
Chapman B N, Hansen T A and Minkiewicz V J 1980 The implication of flow-rate dependencies in plasma etching J. Appl. Phys. 51 3608
-
(1980)
J. Appl. Phys.
, vol.51
, Issue.7
, pp. 3608
-
-
Chapman, B.N.1
Hansen, T.A.2
Minkiewicz, V.J.3
-
49
-
-
0019021889
-
Optical emission spectroscopy of reactive plasmas: A method for correlating emission intensities to reactive particle density
-
Coburn J W and Chen M 1980 Optical emission spectroscopy of reactive plasmas: a method for correlating emission intensities to reactive particle density J. Appl. Phys. 51 3134
-
(1980)
J. Appl. Phys.
, vol.51
, Issue.6
, pp. 3134
-
-
Coburn, J.W.1
Chen, M.2
-
50
-
-
0000307870
-
Ion-enhanced gas-surface chemistry of the mass of the incident ion
-
Gerlach-meyer U, Coburn J W and Kay E 1981 Ion-enhanced gas-surface chemistry of the mass of the incident ion Surf. Sci. 103 177
-
(1981)
Surf. Sci.
, vol.103
, Issue.1
, pp. 177
-
-
Gerlach-Meyer, U.1
Coburn, J.W.2
Kay, E.3
-
51
-
-
0020177218
-
Simulation of plasma-assisted etching processes by ion-beam techniques
-
Mayer T M and Barker R A 1982 Simulation of plasma-assisted etching processes by ion-beam techniques J. Vac. Sci. Technol. 21 757
-
(1982)
J. Vac. Sci. Technol.
, vol.21
, Issue.3
, pp. 757
-
-
Mayer, T.M.1
Barker, R.A.2
-
52
-
-
0020902887
-
Plasma-assisted etching in microfabrication
-
Coburn J W and Winters H F 1983 Plasma-assisted etching in microfabrication Annu. Rev. Mater. Sci. 13 91
-
(1983)
Annu. Rev. Mater. Sci.
, vol.13
, Issue.1
, pp. 91
-
-
Coburn, J.W.1
Winters, H.F.2
-
53
-
-
0000581517
-
Plasma-assisted etching mechanisms: The implications of reaction probability and halogen coverage
-
Winters H F and Coburn J W 1985 Plasma-assisted etching mechanisms: the implications of reaction probability and halogen coverage J. Vac. Sci. Technol. B 3 1376
-
(1985)
J. Vac. Sci. Technol.
, vol.3
, Issue.5
, pp. 1376
-
-
Winters, H.F.1
Coburn, J.W.2
-
54
-
-
0000166351
-
Soft x-ray photo-emission study of the silicon-fluorine etching reaction
-
McFeely F R, Morar J F and Himpsel F J 1986 Soft x-ray photo-emission study of the silicon-fluorine etching reaction Surf. Sci. 165 277
-
(1986)
Surf. Sci.
, vol.165
, Issue.1
, pp. 277
-
-
McFeely, F.R.1
Morar, J.F.2
Himpsel, F.J.3
-
61
-
-
0024305320
-
Plasma processing in microelectronics manufacturing
-
Graves D B 1989 Plasma processing in microelectronics manufacturing AIChE J. 35 1
-
(1989)
AIChE J.
, vol.35
, Issue.1
, pp. 1
-
-
Graves, D.B.1
-
63
-
-
31144450933
-
Model for dry etching of silicon
-
Kojima M, Kato H, Gatto M, Morinaga S and Ito N 1991 Model for dry etching of silicon J. Appl. Phys. 70 2901
-
(1991)
J. Appl. Phys.
, vol.70
, Issue.6
, pp. 2901
-
-
Kojima, M.1
Kato, H.2
Gatto, M.3
Morinaga, S.4
Ito, N.5
-
65
-
-
0008187712
-
Plasmas make progress
-
Gottscho R A 1993 Plasmas make progress Physics World (March) p 39
-
(1993)
Physics World
, Issue.MARCH
, pp. 39
-
-
Gottscho, R.A.1
-
67
-
-
61949117123
-
-
Vugts M J M 1995 Reaction layer dynamics in silicon etching PhD Thesis Physics Department, Eindhoven University of Technology
-
(1995)
PhD Thesis
-
-
Vugts, M.J.M.1
-
69
-
-
0009983214
-
Comparison of advanced plasma sources for etching applications
-
Lee J T C, Layadi N, Guinn K V, Maynard H L, Klemens F P, Ibbotson D E and Tepermeister I 1996 Comparison of advanced plasma sources for etching applications J. Vac. Sci. Technol. B 14 2510
-
(1996)
J. Vac. Sci. Technol.
, vol.14
, Issue.4
, pp. 2510
-
-
Lee, J.T.C.1
Layadi, N.2
Guinn, K.V.3
Maynard, H.L.4
Klemens, F.P.5
Ibbotson, D.E.6
Tepermeister, I.7
-
70
-
-
0030508351
-
Ion-assisted Si-XeF etching: Temperature dependence in the range 100-1000 K
-
Vugts M J M, Hermans L J F and Beijerinck H C W 1996 Ion-assisted Si-XeF etching: temperature dependence in the range 100-1000 K J. Vac. Sci. Technol. A 14 2820
-
(1996)
J. Vac. Sci. Technol.
, vol.14
, Issue.5
, pp. 2820
-
-
Vugts, M.J.M.1
Hermans, L.J.F.2
Beijerinck, H.C.W.3
-
71
-
-
0000830374
-
On the origin of the notching effect during etching in uniform high-density plasmas
-
Hwang G and Giapis K P 1997 On the origin of the notching effect during etching in uniform high-density plasmas J. Vac. Sci. Technol. B 15 70
-
(1997)
J. Vac. Sci. Technol.
, vol.15
, Issue.1
, pp. 70
-
-
Hwang, G.1
Giapis, K.P.2
-
73
-
-
61949378337
-
-
Sebel P G M 1999 Dynamics of ion-assisted etching PhD Thesis Physics Department, Eindhoven University of Technology
-
(1999)
PhD Thesis
-
-
Sebel, P.G.M.1
-
74
-
-
0033268561
-
Fabrication of two-dimensional photonic crystal wave guides for 1.5 um in silicon by deep anisotropic dry etching
-
Zijlstra T and van der Drift E 1999 Fabrication of two-dimensional photonic crystal wave guides for 1.5 um in silicon by deep anisotropic dry etching J. Vac. Sci. Technol. B 17 2734
-
(1999)
J. Vac. Sci. Technol.
, vol.17
, Issue.6
, pp. 2734
-
-
Zijlstra, T.1
Van Der Drift, E.2
-
75
-
-
0034272682
-
Plasma etching: Principles, mechanisms, application to micro- and nano-technologies
-
Cardinaud C, Peignon M and Tessier P 2000 Plasma etching: principles, mechanisms, application to micro- and nano-technologies Appl. Surf. Sci. 164 72
-
(2000)
Appl. Surf. Sci.
, vol.164
, Issue.1-4
, pp. 72
-
-
Cardinaud, C.1
Peignon, M.2
Tessier, P.3
-
76
-
-
33845417735
-
Three-dimensional simulation of an inductively coupled plasma reactor
-
Panagopoulos T, Kim D, Midha V and Economou D J 2002 Three-dimensional simulation of an inductively coupled plasma reactor J. Appl. Phys. 91 2687
-
(2002)
J. Appl. Phys.
, vol.91
, Issue.5
, pp. 2687
-
-
Panagopoulos, T.1
Kim, D.2
Midha, V.3
Economou, D.J.4
-
77
-
-
0036529234
-
Particle modeling of inductively coupled plasma and radicals flow to predict etch rate of silicon
-
Shiozawa M and Nanbu K 2002 Particle modeling of inductively coupled plasma and radicals flow to predict etch rate of silicon Japan. J. Appl. Phys. 41 2213
-
(2002)
Japan. J. Appl. Phys.
, vol.41
, Issue.PART 1
, pp. 2213
-
-
Shiozawa, M.1
Nanbu, K.2
-
79
-
-
0038274546
-
Relation between the ion flux, gas phase composition, and wall conditions in chlorine plasma etching of silicon
-
Ullal S J, Kim T W, Vahedi V and Aydil E 2003 Relation between the ion flux, gas phase composition, and wall conditions in chlorine plasma etching of silicon J. Vac. Sci. Technol. A 21 589
-
(2003)
J. Vac. Sci. Technol.
, vol.21
, Issue.3
, pp. 589
-
-
Ullal, S.J.1
Kim, T.W.2
Vahedi, V.3
Aydil, E.4
-
80
-
-
1942517779
-
Kinetics of etching in inductively coupled plasmas
-
Kim M T 2004 Kinetics of etching in inductively coupled plasmas Appl. Surf. Sci. 228 245
-
(2004)
Appl. Surf. Sci.
, vol.228
, Issue.1-4
, pp. 245
-
-
Kim, M.T.1
-
81
-
-
36448950898
-
Modes of rf capacitive discharge in low-pressure sulfur hexafluoride
-
Lisovskiy V, Booth J-P, Jolly J, Martins S, Landry K, Douai D, Cassagne V and Yegorenkov V 2007 Modes of rf capacitive discharge in low-pressure sulfur hexafluoride J. Phys. D: Appl. Phys. 40 6989
-
(2007)
J. Phys. D: Appl. Phys.
, vol.40
, Issue.22
, pp. 6989
-
-
Lisovskiy, V.1
Booth, J.-P.2
Jolly, J.3
Martins, S.4
Landry, K.5
Douai, D.6
Cassagne, V.7
Yegorenkov, V.8
-
83
-
-
47149096939
-
RF sputter-etching by fluoro-chloro-hydrocarbon gases
-
Hosokawa N, Matsuzaki R and Asamaki T 1974 RF sputter-etching by fluoro-chloro-hydrocarbon gases Japan. J. Appl. Phys. ((Suppl 2, Part 1)) 435
-
(1974)
Japan. J. Appl. Phys.
, Issue.1-2 SUPPL-PART
, pp. 435
-
-
Hosokawa, N.1
Matsuzaki, R.2
Asamaki, T.3
-
87
-
-
0019552950
-
Anisotropic etching in chlorine-containing plasmas
-
Donnelly V M and Flamm D L 1981 Anisotropic etching in chlorine-containing plasmas Solid. State. Technol. 24 161
-
(1981)
Solid. State. Technol.
, vol.24
, Issue.4
, pp. 161
-
-
Donnelly, V.M.1
Flamm, D.L.2
-
88
-
-
0019712286
-
3Br and related plasma etching gases
-
3Br and related plasma etching gases Proc. Electrochem. Soc. 81 55
-
(1981)
Proc. Electrochem. Soc.
, vol.81
, pp. 55
-
-
Flamm, D.L.1
-
91
-
-
0023454045
-
Etched shape control of single-crystal silicon in reactive ion etching using chlorine
-
Sato M and Arita Y 1987 Etched shape control of single-crystal silicon in reactive ion etching using chlorine J. Electrochem. Soc. 134 2856
-
(1987)
J. Electrochem. Soc.
, vol.134
, Issue.11
, pp. 2856
-
-
Sato, M.1
Arita, Y.2
-
93
-
-
0012665937
-
Rate constants for the etching of intrinsic and doped polycrystalline silicon by bromine atoms
-
Walker Z H and Ogryzlo E A 1991 Rate constants for the etching of intrinsic and doped polycrystalline silicon by bromine atoms J. Appl. Phys. 69 2635
-
(1991)
J. Appl. Phys.
, vol.69
, Issue.4
, pp. 2635
-
-
Walker, Z.H.1
Ogryzlo, E.A.2
-
94
-
-
0028322844
-
Highly anisotropic selective reactive ion etching of deep trenches in silicon
-
Yunkin V A, Fischer D and Voges E 1994 Highly anisotropic selective reactive ion etching of deep trenches in silicon Microelectron. Eng. 23 373
-
(1994)
Microelectron. Eng.
, vol.23
, Issue.1-4
, pp. 373
-
-
Yunkin, V.A.1
Fischer, D.2
Voges, E.3
-
95
-
-
0031123701
-
High silicon etch rates by hot filament generated atomic hydrogen
-
Wanka H N and Schubert M B 1997 High silicon etch rates by hot filament generated atomic hydrogen J. Phys. D: Appl. Phys. 30 L28
-
(1997)
J. Phys. D: Appl. Phys.
, vol.30
, Issue.8
, pp. 28
-
-
Wanka, H.N.1
Schubert, M.B.2
-
97
-
-
6344243508
-
Alternative gases and processes for amorphous and microcrystalline silicon etching
-
p
-
Beyer W, Lejeune M, Muller J, Zastrow U, Albert M and Rosler T 2003 Alternative gases and processes for amorphous and microcrystalline silicon etching 3rd World Conf. Photovoltaic energy conversion (Osaka, Japan) 1584 p
-
(2003)
3rd World Conf. Photovoltaic Energy Conversion
, pp. 1584
-
-
Beyer, W.1
Lejeune, M.2
Muller, J.3
Zastrow, U.4
Albert, M.5
Rosler, T.6
-
98
-
-
0037206624
-
Plasma etch models based on different plasma chemistry for MEMS applications
-
Paul A K, Dimri A K and Bajpai R P 2003 Plasma etch models based on different plasma chemistry for MEMS applications Vacuum 68 191
-
(2003)
Vacuum
, vol.68
, Issue.2
, pp. 191
-
-
Paul, A.K.1
Dimri, A.K.2
Bajpai, R.P.3
-
99
-
-
61949471376
-
-
5
-
5
-
-
-
-
100
-
-
0018457021
-
Application for silicon tetrafluoride in plasma etching
-
Boyd H and Tang M S 1979 Application for silicon tetrafluoride in plasma etching Solid Stat. Technol. 22 133
-
(1979)
Solid Stat. Technol.
, vol.22
, pp. 133
-
-
Boyd, H.1
Tang, M.S.2
-
103
-
-
0018986229
-
4 glow discharges as determined by emission spectroscopy
-
4 glow discharges as determined by emission spectroscopy J. Vac. Sci. Technol. 18 353
-
(1981)
J. Vac. Sci. Technol.
, vol.18
, Issue.2
, pp. 353
-
-
Coburn, J.W.1
Chen, M.2
-
106
-
-
0001190862
-
Chemiluminescence and the reaction of molecular fluorine with silicon
-
Mucha J A, Donnelly V M, Flamm D L and Webb L M 1981 Chemiluminescence and the reaction of molecular fluorine with silicon J. Phys. Chem. 85 3529
-
(1981)
J. Phys. Chem.
, vol.85
, Issue.23
, pp. 3529
-
-
Mucha, J.A.1
Donnelly, V.M.2
Flamm, D.L.3
Webb, L.M.4
-
108
-
-
0021517388
-
Plasmaless dry etching of silicon with fluorine-containing compounds
-
Ibbotson D E, Mucha J A, Flamm D L and Cook J M 1984 Plasmaless dry etching of silicon with fluorine-containing compounds J. Appl. Phys. 56 2939
-
(1984)
J. Appl. Phys.
, vol.56
, Issue.10
, pp. 2939
-
-
Ibbotson, D.E.1
Mucha, J.A.2
Flamm, D.L.3
Cook, J.M.4
-
112
-
-
0021780409
-
Plasma cleaning in an a-Si: H deposition chamber
-
Primig R and Rosan K 1986 Plasma cleaning in an a-Si: H deposition chamber Vacuum 36 75
-
(1986)
Vacuum
, vol.36
, Issue.1-3
, pp. 75
-
-
Primig, R.1
Rosan, K.2
-
113
-
-
0006190893
-
Mechanism of ion-assisted etching of silicon by fluorine atoms
-
Yarmoff J A and McFeely F R 1987 Mechanism of ion-assisted etching of silicon by fluorine atoms Surf. Sci. 184 389
-
(1987)
Surf. Sci.
, vol.184
, Issue.3
, pp. 389
-
-
Yarmoff, J.A.1
McFeely, F.R.2
-
115
-
-
0042895777
-
Continuum modeling of radio-frequency glow discharges: II. Parametric studies and sensitive analysis
-
Gogolides E and Sawin H 1992 Continuum modeling of radio-frequency glow discharges: II. Parametric studies and sensitive analysis J. Appl. Phys. 72 3988
-
(1992)
J. Appl. Phys.
, vol.72
, Issue.9
, pp. 3988
-
-
Gogolides, E.1
Sawin, H.2
-
116
-
-
0001039797
-
Simulation of profile evolution in silicon reactive ion etching with re-emission and surface diffusion
-
Singh V K, Shaqfeh E S G and McVittie J P 1992 Simulation of profile evolution in silicon reactive ion etching with re-emission and surface diffusion J. Vac. Sci. Technol. B 10 1091
-
(1992)
J. Vac. Sci. Technol.
, vol.10
, Issue.3
, pp. 1091
-
-
Singh, V.K.1
Shaqfeh, E.S.G.2
McVittie, J.P.3
-
117
-
-
84913777135
-
-
Chang F I-J 1995 Xenon difluoride etching of silicon for MEMS M.S. Thesis UCLA MEMS TRN 95-14 Los Angeles, CA
-
(1995)
M.S. Thesis
-
-
Chang, F.I.-J.1
-
118
-
-
0033350150
-
2-based gases for high-rate dry etching of Si
-
2-based gases for high-rate dry etching of Si J. Electrochem. Soc. 146 3812
-
(1999)
J. Electrochem. Soc.
, vol.146
, Issue.10
, pp. 3812
-
-
Hays, D.C.1
Jung, K.B.2
Hahn, Y.B.3
Lambers, E.S.4
Pearton, S.J.5
Donahue, J.6
Johnson, D.7
Shul, R.J.8
-
120
-
-
0035272607
-
Microcrystalline silicon by plasma enhanced chemical vapor deposition from silicon tetrafluoride
-
Cicala G, Capezzuto P and Bruno G 2001 Microcrystalline silicon by plasma enhanced chemical vapor deposition from silicon tetrafluoride J. Vac. Sci. Technol. A 19 515
-
(2001)
J. Vac. Sci. Technol.
, vol.19
, Issue.2
, pp. 515
-
-
Cicala, G.1
Capezzuto, P.2
Bruno, G.3
-
123
-
-
6344243508
-
Alternative gases and processes for amorphous and microcrystalline silicon etching
-
Beyer W, Lejeune M, Muller J, Zastrow U, Albert M and Rossler T 2003 Alternative gases and processes for amorphous and microcrystalline silicon etching 3rd World Conf. Photovoltaic Energy Conversion (Osaka, Japan, 11-I8 May)
-
(2003)
3rd World Conf. Photovoltaic Energy Conversion
-
-
Beyer, W.1
Lejeune, M.2
Muller, J.3
Zastrow, U.4
Albert, M.5
Rossler, T.6
-
124
-
-
34547559706
-
Silane versus silicon tetrafluoride in the growth of microcrystalline silicon films by standard radio frequency glow discharge
-
Djeridane Y, Abramov A and Roca I Cabarrocas P 2007 Silane versus silicon tetrafluoride in the growth of microcrystalline silicon films by standard radio frequency glow discharge Thin Solid Films 515 7451
-
(2007)
Thin Solid Films
, vol.515
, Issue.19
, pp. 7451
-
-
Djeridane, Y.1
Abramov, A.2
Roca Cabarrocas I, P.3
-
126
-
-
84975399580
-
Use of low-temperature deposited silicon dioxide films as diffusion masks in GaAs
-
Ing S W Jr and Davern W 1964 Use of low-temperature deposited silicon dioxide films as diffusion masks in GaAs J. Electrochem. Soc. 111 120
-
(1964)
J. Electrochem. Soc.
, vol.111
, Issue.1
, pp. 120
-
-
Ing, S.W.1
Davern, W.2
-
127
-
-
0011077393
-
Silicon oxidation in an oxygen plasma excited by microwaves
-
Ligenza J R 1965 Silicon oxidation in an oxygen plasma excited by microwaves J. Appl. Phys. 36 2703
-
(1965)
J. Appl. Phys.
, vol.36
, Issue.9
, pp. 2703
-
-
Ligenza, J.R.1
-
128
-
-
0015482286
-
The deposition of thin films by decomposition of tetra-ethoxy silane in a radio frequency glow discharge
-
Mukherjee S P and Evans P E 1972 The deposition of thin films by decomposition of tetra-ethoxy silane in a radio frequency glow discharge Thin Solid Films 14 105
-
(1972)
Thin Solid Films
, vol.14
, Issue.1
, pp. 105
-
-
Mukherjee, S.P.1
Evans, P.E.2
-
129
-
-
0002988208
-
Plasma TEOS process for interlayer dielectric applications
-
Chin B and Van de Ven E 1988 Plasma TEOS process for interlayer dielectric applications Solid State Technol. 119
-
(1988)
Solid State Technol.
, vol.31
, Issue.4
, pp. 119
-
-
Chin, B.1
Van De Ven, E.2
-
130
-
-
0024921575
-
Directional deposition of dielectric silicon oxide by plasma enhanced TEOS process
-
Hsieh J J, Ibbotson D E, Mucha J A and Flamm D L 1989 Directional deposition of dielectric silicon oxide by plasma enhanced TEOS process Proc. IEEE 411
-
(1989)
Proc. IEEE
, pp. 411
-
-
Hsieh, J.J.1
Ibbotson, D.E.2
Mucha, J.A.3
Flamm, D.L.4
-
131
-
-
84958488564
-
Characterization of a multiple-step in situ plasma enhanced chemical vapor deposition (PECVD) tetraethylorthosilicate (TEOS) planarization scheme for submicron manufacturing
-
Perchard J, Smith H, O'Connor R, Olsen J and Law K 1989 Characterization of a multiple-step in situ plasma enhanced chemical vapor deposition (PECVD) tetraethylorthosilicate (TEOS) planarization scheme for submicron manufacturing SPIE Proc. Multichamber and In Situ Processing of Electronic Materials vol 1188 p 75
-
(1989)
SPIE Proc. Multichamber and in Situ Processing of Electronic Materials
, vol.1188
, pp. 75
-
-
Perchard, J.1
Smith, H.2
O'Connor, R.3
Olsen, J.4
Law, K.5
-
132
-
-
0025555271
-
Electrical characterization of doped and undoped PECVD TEOS oxides
-
Butler J, Allen G, Hall A and Nowak R 1990 Electrical characterization of doped and undoped PECVD TEOS oxides VMIC Conf. p 387
-
(1990)
VMIC Conf.
, pp. 387
-
-
Butler, J.1
Allen, G.2
Hall, A.3
Nowak, R.4
-
133
-
-
0025419691
-
Plasma TEOS as in intermetal dielectric in two level metal technology
-
Hills G, Harrus A and Thoma M 1990 Plasma TEOS as in intermetal dielectric in two level metal technology Solid State Technol. 127
-
(1990)
Solid State Technol.
, vol.33
, Issue.4
, pp. 127
-
-
Hills, G.1
Harrus, A.2
Thoma, M.3
-
134
-
-
0025451714
-
Reaction mechanisms of plasma- and thermal-assisted chemical vapor deposition of tetraethylorthosilicate oxide films
-
Nguyen S, Dobuzinsky D, Harmon D, Gleason R and Fridmann S 1990 Reaction mechanisms of plasma- and thermal-assisted chemical vapor deposition of tetraethylorthosilicate oxide films J. Electrochem. Soc. 137 2209
-
(1990)
J. Electrochem. Soc.
, vol.137
, Issue.7
, pp. 2209
-
-
Nguyen, S.1
Dobuzinsky, D.2
Harmon, D.3
Gleason, R.4
Fridmann, S.5
-
135
-
-
84914336782
-
A characterization of PECVD TEOS BPSG planarity and metal-field Vt on a submicron CMOS EPROM
-
Ibok E, Garg S, Lee E and O'Banon J 1991 A characterization of PECVD TEOS BPSG planarity and metal-field Vt on a submicron CMOS EPROM VMIC Conf. p 369
-
(1991)
VMIC Conf.
, pp. 369
-
-
Ibok, E.1
Garg, S.2
Lee, E.3
O'Banon, J.4
-
137
-
-
0026912334
-
Plasma-enhanced chemical vapor deposition of organosilicon thin films from tetraethoxysilane-oxygen feeds
-
Fracassi F, d'Agostino R and Favia P 1992 Plasma-enhanced chemical vapor deposition of organosilicon thin films from tetraethoxysilane-oxygen feeds J. Electrochem. Soc. 139 2636
-
(1992)
J. Electrochem. Soc.
, vol.139
, Issue.9
, pp. 2636
-
-
Fracassi, F.1
D'Agostino, R.2
Favia, P.3
-
138
-
-
0026914571
-
Plasma-enhanced chemical vapour deposition of silicon dioxide films using tetraethoxysilane and oxygen: Characterization and properties of films
-
Patrick W J, Schwartz G C, Chapple-Sokol J D, Carruthers R and Olsen K 1992 Plasma-enhanced chemical vapour deposition of silicon dioxide films using tetraethoxysilane and oxygen: characterization and properties of films J. Electrochem. Soc. 139 2604
-
(1992)
J. Electrochem. Soc.
, vol.139
, Issue.9
, pp. 2604
-
-
Patrick, W.J.1
Schwartz, G.C.2
Chapple-Sokol, J.D.3
Carruthers, R.4
Olsen, K.5
-
139
-
-
0026858018
-
Stress in SiO2 films deposited by plasma and ozone tetraethylorthosilicate chemical vapor deposition processes
-
Ramkumar K and Saxena A 1992 Stress in SiO2 films deposited by plasma and ozone tetraethylorthosilicate chemical vapor deposition processes J. Electrochem. Soc. 139 1437
-
(1992)
J. Electrochem. Soc.
, vol.139
, Issue.5
, pp. 1437
-
-
Ramkumar, K.1
Saxena, A.2
-
140
-
-
0026944499
-
Characterization of TEOS oxides used in the isolation module of high-speed bipolar and BiCMOS circuits
-
Wilson S and Liang H-B 1992 Characterization of TEOS oxides used in the isolation module of high-speed bipolar and BiCMOS circuits Thin Solid Films 220 59
-
(1992)
Thin Solid Films
, vol.220
, Issue.1-2
, pp. 59
-
-
Wilson, S.1
Liang, H.-B.2
-
141
-
-
4544382619
-
Elimination of in-process multilevel interconnect stress voids through optimization of plasma enhanced chemical vapor deposition parameters
-
Grivna G, Leathersich C, Shin H and Cowden W 1993 Elimination of in-process multilevel interconnect stress voids through optimization of plasma enhanced chemical vapor deposition parameters J. Vac. Sci. Technol. B 11 55
-
(1993)
J. Vac. Sci. Technol.
, vol.11
, Issue.1
, pp. 55
-
-
Grivna, G.1
Leathersich, C.2
Shin, H.3
Cowden, W.4
-
142
-
-
0029254315
-
Relationship between water diffusivity of dielectric films and accelerated hot carrier degradation caused by water
-
Fukuda K, Nakano T, Fujishima M, Mura N, Tokunaga K, Tsuzumitani A and Ichinose S 1995 Relationship between water diffusivity of dielectric films and accelerated hot carrier degradation caused by water Japan. J. Appl. Phys. 34 963
-
(1995)
Japan. J. Appl. Phys.
, vol.34
, Issue.PART 1
, pp. 965
-
-
Fukuda, K.1
Nakano, T.2
Fujishima, M.3
Mura, N.4
Tokunaga, K.5
Tsuzumitani, A.6
Ichinose, S.7
-
143
-
-
0013059726
-
Optimization of intermetal dielectric deposition module using simulation
-
Li J, McVittie J, Ferziger J and Saraswat K 1995 Optimization of intermetal dielectric deposition module using simulation J. Vac. Sci. Technol. B 13 1867
-
(1995)
J. Vac. Sci. Technol.
, vol.13
, Issue.4
, pp. 1867
-
-
Li, J.1
McVittie, J.2
Ferziger, J.3
Saraswat, K.4
-
144
-
-
0029359116
-
Enhanced hot-carrier degradation due to water-related species in plasma-enhanced tetraethoxysilane oxide
-
Yamaha T, Inoue Y, Fujioka T, Hanagasaki O and Hotta T 1995 Enhanced hot-carrier degradation due to water-related species in plasma-enhanced tetraethoxysilane oxide J. Electrochem. Soc. 142 2743
-
(1995)
J. Electrochem. Soc.
, vol.142
, Issue.8
, pp. 2743
-
-
Yamaha, T.1
Inoue, Y.2
Fujioka, T.3
Hanagasaki, O.4
Hotta, T.5
-
145
-
-
0029722026
-
Process induced gate oxide damage issues in advanced plasma chemical vapor deposition processes
-
Cote D, Nguyen S, McGahay V, Waskiewicz C, Chang S, Stamper A, Weigand P, Shoda N and Matsuda T 1996 Process induced gate oxide damage issues in advanced plasma chemical vapor deposition processes 1st Int. Symp. Plasma Process-Induced Damage (Santa Clara CA) p 61
-
(1996)
1st Int. Symp. Plasma Process-Induced Damage
, pp. 61
-
-
Cote, D.1
Nguyen, S.2
McGahay, V.3
Waskiewicz, C.4
Chang, S.5
Stamper, A.6
Weigand, P.7
Shoda, N.8
Matsuda, T.9
-
146
-
-
33748579050
-
High-rate plasma-deposited SiO2 films for surface passivation of crystalline silicon
-
Hoex B, Peeters F J J, Creatore M, Blauw M A, Kessels W M M and van de Sanden M C M 2006 High-rate plasma-deposited SiO2 films for surface passivation of crystalline silicon J. Vac. Sci. Technol. A 24 1823
-
(2006)
J. Vac. Sci. Technol.
, vol.24
, Issue.5
, pp. 1823
-
-
Hoex, B.1
Peeters, F.J.J.2
Creatore, M.3
Blauw, M.A.4
Kessels, W.M.M.5
Van De Sanden, M.C.M.6
-
147
-
-
38349128595
-
4 for deep etching
-
4 for deep etching J. Electrochem. Soc. 155 D187
-
(2008)
J. Electrochem. Soc.
, vol.155
, Issue.3
, pp. 187
-
-
Tillocher, T.1
Dussart, R.2
Overzet, L.J.3
Mellhaoui, X.4
Lefaucheux, P.5
Boufnichel, M.6
Ranson, P.7
-
148
-
-
61949173617
-
-
http://www.timedomaincvd.com/index.html
-
-
-
-
149
-
-
61949189429
-
-
3)
-
3)
-
-
-
151
-
-
0022715545
-
Investigation of reactive-ion-etching-related fluorocarbon film deposition onto silicon and a new method for surface residue removal
-
Oehrlein G S, Clabes J G and Spirito P 1986 Investigation of reactive-ion-etching-related fluorocarbon film deposition onto silicon and a new method for surface residue removal J. Electrochem. Soc. 133 1002
-
(1986)
J. Electrochem. Soc.
, vol.133
, Issue.5
, pp. 1002
-
-
Oehrlein, G.S.1
Clabes, J.G.2
Spirito, P.3
-
152
-
-
0028408239
-
Applications of fluorocarbon polymers in micromechanics and micromachining
-
Jansen H V, Gardeniers J G E, Elders J, Tilmans H A C and Elwenspoek M 1994 Applications of fluorocarbon polymers in micromechanics and micromachining Sensors Actuators A 41 136
-
(1994)
Sensors Actuators
, vol.41
, Issue.1-3
, pp. 136
-
-
Jansen, H.V.1
Gardeniers, J.G.E.2
Elders, J.3
Tilmans, H.A.C.4
Elwenspoek, M.5
-
153
-
-
0028112830
-
Materials analysis of fluorocarbon films for MEMS applications
-
Elders J, VJansen H and CElwenspoek M 1994 Materials analysis of fluorocarbon films for MEMS applications Proc. MEMS (Oiso, Japan)
-
(1994)
Proc. MEMS
-
-
Elders, J.1
Vjansen, H.2
Celwenspoek, M.3
-
156
-
-
0031189218
-
3 in an inductively coupled plasma reactor
-
3 in an inductively coupled plasma reactor J. Vac. Sci. Technol. A 15 1881
-
(1997)
J. Vac. Sci. Technol.
, vol.15
, Issue.4
, pp. 1881
-
-
Rueger, N.R.1
Beulens, J.J.2
Schaepkens, M.3
Doemling, M.F.4
Mirza, J.M.5
Standaert, T.E.F.M.6
Oehrlein, G.S.7
-
158
-
-
0001615657
-
Plasma treatments and plasma deposition of polymers for biomedical applications
-
Favia P and d'Agostino R 1998 Plasma treatments and plasma deposition of polymers for biomedical applications Surf. Coat. Technol. 98 1102
-
(1998)
Surf. Coat. Technol.
, vol.98
, Issue.1-3
, pp. 1102
-
-
Favia, P.1
D'Agostino, R.2
-
159
-
-
0031672938
-
High-density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer
-
Standaert T E F M, Schaepkens M, Rueger N R, Sebel P G M and Oehrlein G S 1998 High-density fluorocarbon etching of silicon in an inductively coupled plasma: mechanism of etching through a thick steady state fluorocarbon layer J. Vac. Sci. Technol. A 16 239
-
(1998)
J. Vac. Sci. Technol.
, vol.16
, Issue.1
, pp. 239
-
-
Standaert, T.E.F.M.1
Schaepkens, M.2
Rueger, N.R.3
Sebel, P.G.M.4
Oehrlein, G.S.5
-
162
-
-
0033468407
-
Effect of capacitive coupling on inductively coupled fluorocarbon plasma processing
-
Schaepkens M, Rueger N R, Beulens J J, Li X, Standaert T E F M, Matsuo P J and Oehrlein G S 1999 Effect of capacitive coupling on inductively coupled fluorocarbon plasma processing J. Vac. Sci. Technol. A 17 3272
-
(1999)
J. Vac. Sci. Technol.
, vol.17
, Issue.6
, pp. 3272
-
-
Schaepkens, M.1
Rueger, N.R.2
Beulens, J.J.3
Li, X.4
Standaert, T.E.F.M.5
Matsuo, P.J.6
Oehrlein, G.S.7
-
165
-
-
0034272577
-
Fluorocarbon polymer deposition kinetics in a low-pressure, high-density, inductively coupled plasma reactor
-
Sowa M J, Littau M E, Pohray V and Cecchi J L 2000 Fluorocarbon polymer deposition kinetics in a low-pressure, high-density, inductively coupled plasma reactor J. Vac. Sci. Technol. A 18 2122
-
(2000)
J. Vac. Sci. Technol.
, vol.18
, Issue.5
, pp. 2122
-
-
Sowa, M.J.1
Littau, M.E.2
Pohray, V.3
Cecchi, J.L.4
-
166
-
-
4344594365
-
Selective plasma-induced deposition of fluorocarbon films on metal surfaces for actuation in microfluidics
-
Bayiati P, Tserepi A, Gogolides E and Misiakos K 2004 Selective plasma-induced deposition of fluorocarbon films on metal surfaces for actuation in microfluidics J. Vac. Sci. Technol. A 22 1546
-
(2004)
J. Vac. Sci. Technol.
, vol.22
, Issue.4
, pp. 1546
-
-
Bayiati, P.1
Tserepi, A.2
Gogolides, E.3
Misiakos, K.4
-
167
-
-
4544291377
-
Advanced plasma processing combined with trench isolation technology for fabrication and fast prototyping of high aspect ratio MEMS in standard silicon wafers
-
Sarajlic E, de Boer M J, Jansen H V, Arnal N, Puech M, Krijnen G and Elwenspoek M 2004 Advanced plasma processing combined with trench isolation technology for fabrication and fast prototyping of high aspect ratio MEMS in standard silicon wafers J. Micromech. Microeng. 14 S70
-
(2004)
J. Micromech. Microeng.
, vol.14
, Issue.9
, pp. 70
-
-
Sarajlic, E.1
De Boer, M.J.2
Jansen, H.V.3
Arnal, N.4
Puech, M.5
Krijnen, G.6
Elwenspoek, M.7
-
168
-
-
21644457201
-
SCREAM'03: A single mask process for high-Q single crystal silicon MEMS
-
Zhang W, Zhang W and Turner K 2004 SCREAM'03: a single mask process for high-Q single crystal silicon MEMS Proc. IMECE04 (Anaheim, CA)
-
(2004)
Proc. IMECE04
-
-
Zhang, W.1
Zhang, W.2
Turner, K.3
-
169
-
-
33646033030
-
Aspect ratio dependent plasma polymer deposition of fluorocarbons
-
Volland B E and Rangelow I W 2006 Aspect ratio dependent plasma polymer deposition of fluorocarbons Microelectron. Eng. 83 1174
-
(2006)
Microelectron. Eng.
, vol.83
, Issue.4-9
, pp. 1174
-
-
Volland, B.E.1
Rangelow, I.W.2
-
173
-
-
0018456466
-
Some chemical aspects of the fluorocarbon plasma etching of silicon and its compounds
-
Coburn J W and Kay E 1979 Some chemical aspects of the fluorocarbon plasma etching of silicon and its compounds Solid State Technol. 22 117
-
(1979)
Solid State Technol.
, vol.22
, Issue.1
, pp. 117
-
-
Coburn, J.W.1
Kay, E.2
-
178
-
-
0019399404
-
6, a preferable etchant for plasma etching silicon
-
6, a preferable etchant for plasma etching silicon J. Electrochem. Soc. 128 123
-
(1981)
J. Electrochem. Soc.
, vol.128
, Issue.1
, pp. 123
-
-
Eisele, K.M.1
-
179
-
-
0020829168
-
Reactive ion etching for submicron structures of refractory metal silicides and polycides
-
Zhang M, Li J Z, Adesida I and Wolf E D 1983 Reactive ion etching for submicron structures of refractory metal silicides and polycides J. Vac. Sci. Technol. B 1 1037
-
(1983)
J. Vac. Sci. Technol.
, vol.1
, Issue.4
, pp. 1037
-
-
Zhang, M.1
Li, J.Z.2
Adesida, I.3
Wolf, E.D.4
-
182
-
-
0023545441
-
Fabrication of 15 um thick Si-hole masks for demagnifying projection systems for ion- or electron-beams
-
Olschimke J, Rangelow I W, Tschudi T and Kassing R 1987 Fabrication of 15 um thick Si-hole masks for demagnifying projection systems for ion- or electron-beams Microelectron. Eng. 6 547
-
(1987)
Microelectron. Eng.
, vol.6
, Issue.1-4
, pp. 547
-
-
Olschimke, J.1
Rangelow, I.W.2
Tschudi, T.3
Kassing, R.4
-
183
-
-
0023367364
-
6 microwave multipolar plasmas: Interpretation of etching mechanisms
-
6 microwave multipolar plasmas: interpretation of etching mechanisms Japan. J. Appl. Phys. 26 825
-
(1987)
Japan. J. Appl. Phys.
, vol.26
, Issue.PART 1
, pp. 825
-
-
Petit, B.1
Pelletier, J.2
-
185
-
-
36549093586
-
Fast anisotropic etching of silicon in an inductively coupled plasma reactor
-
Perry A J and Boswell R W 1989 Fast anisotropic etching of silicon in an inductively coupled plasma reactor Appl. Phys. Lett. 55 148
-
(1989)
Appl. Phys. Lett.
, vol.55
, Issue.2
, pp. 148
-
-
Perry, A.J.1
Boswell, R.W.2
-
189
-
-
0029180435
-
DEEMO: A new technology for the fabrication of microstructures
-
Elders J, Jansen H V and Elwenspoek M C 1995 DEEMO: a new technology for the fabrication of microstructures Proc. MEMS'95 p 238
-
(1995)
Proc. MEMS'95
, pp. 238
-
-
Elders, J.1
Jansen, H.V.2
Elwenspoek, M.C.3
-
190
-
-
0029325460
-
Black silicon method: I. A universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench etching with profile control
-
Jansen H V, de Boer M J, Legtenberg R and Elwenspoek M C 1995 Black silicon method: I. A universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench etching with profile control J. Micromech. Microeng. 5 115
-
(1995)
J. Micromech. Microeng.
, vol.5
, Issue.2
, pp. 115
-
-
Jansen, H.V.1
De Boer, M.J.2
Legtenberg, R.3
Elwenspoek, M.C.4
-
196
-
-
11744265858
-
Low-temperature ion beam enhanced etching of tungsten films with xenon difluoride
-
Bensaoula A, Ignatiev A, Strozier J and Wolfe J C 1986 Low-temperature ion beam enhanced etching of tungsten films with xenon difluoride Appl. Phys. Lett. 49 1663
-
(1986)
Appl. Phys. Lett.
, vol.49
, Issue.24
, pp. 1663
-
-
Bensaoula, A.1
Ignatiev, A.2
Strozier, J.3
Wolfe, J.C.4
-
197
-
-
36549100931
-
Low-temperature reactive ion etching and microwave plasma etching of silicon
-
Tachi S, Tsujimoto K and Okudaira S 1988 Low-temperature reactive ion etching and microwave plasma etching of silicon Appl. Phys. Lett. 52 616
-
(1988)
Appl. Phys. Lett.
, vol.52
, Issue.8
, pp. 616
-
-
Tachi, S.1
Tsujimoto, K.2
Okudaira, S.3
-
202
-
-
0026396531
-
Low-temperature microwave plasma etching of crystalline silicon
-
Tsujimoto K, Okudaira S and Tachi S 1991 Low-temperature microwave plasma etching of crystalline silicon Japan. J. Appl. Phys. 30 3319
-
(1991)
Japan. J. Appl. Phys.
, vol.30
, Issue.PART 1
, pp. 3319
-
-
Tsujimoto, K.1
Okudaira, S.2
Tachi, S.3
-
204
-
-
0029224537
-
Deep and fast plasma etching for silicon micromachining
-
Francou M, Danel J S and Peccoud L 1995 Deep and fast plasma etching for silicon micromachining Sensors Actuators A 46-47 17
-
(1995)
Sensors Actuators
, vol.46
, Issue.1-3
, pp. 17
-
-
Francou, M.1
Danel, J.S.2
Peccoud, L.3
-
206
-
-
0029770938
-
Black silicon method: VI. High aspect ratio trench etching for MEMS applications
-
Jansen H V, de Boer M J and Elwenspoek M C 1996 Black silicon method: VI. High aspect ratio trench etching for MEMS applications Proc. IEEE MEMS p 250
-
(1996)
Proc. IEEE MEMS
, pp. 250
-
-
Jansen, H.V.1
De Boer, M.J.2
Elwenspoek, M.C.3
-
207
-
-
0030564534
-
Low temperature etching of Si and PR in high-density plasmas
-
Puech M and Maquin Ph 1996 Low temperature etching of Si and PR in high-density plasmas Appl. Surf. Sci. 100-101 579
-
(1996)
Appl. Surf. Sci.
, vol.100-101
, pp. 579
-
-
Puech, M.1
Ph, M.2
-
208
-
-
0031072583
-
Black silicon method: VII. RIE lag in high aspect ratio trench etching of silicon
-
Jansen H V, de Boer M J, Wiegerink R, Tas N R, Smulders E, Neagu C and Elwenspoek M C 1997 Black silicon method: VII. RIE lag in high aspect ratio trench etching of silicon Microelectron. Eng. 35 45
-
(1997)
Microelectron. Eng.
, vol.35
, Issue.1-4
, pp. 45
-
-
Jansen, H.V.1
De Boer, M.J.2
Wiegerink, R.3
Tas, N.R.4
Smulders, E.5
Neagu, C.6
Elwenspoek, M.C.7
-
209
-
-
78649769826
-
Deep anisotropic etching of silicon
-
Aachboun S and Ranson P 1999 Deep anisotropic etching of silicon J. Vac. Sci. Technol. A 17 2270
-
(1999)
J. Vac. Sci. Technol.
, vol.17
, Issue.4
, pp. 2270
-
-
Aachboun, S.1
Ranson, P.2
-
210
-
-
0034318140
-
Kinetics and crystal orientation dependence in high aspect ratio silicon dry etching
-
Blauw M A, Zijlstra T, Bakker R A and van der Drift E 2000 Kinetics and crystal orientation dependence in high aspect ratio silicon dry etching J. Vac. Sci. Technol. B 18 3453
-
(2000)
J. Vac. Sci. Technol.
, vol.18
, Issue.6
, pp. 3453
-
-
Blauw, M.A.1
Zijlstra, T.2
Bakker, R.A.3
Van Der Drift, E.4
-
212
-
-
0036684902
-
Black silicon method: IX. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures
-
de Boer M J, Gardeniers J G E, Jansen H V, Smulders E, Gilde M-J, Roelofs G, Sasserath J N and Elwenspoek M C 2002 Black silicon method: IX. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures J. Microelectromech. Syst. 11 385
-
(2002)
J. Microelectromech. Syst.
, vol.11
, Issue.4
, pp. 385
-
-
De Boer, M.J.1
Gardeniers, J.G.E.2
Jansen, H.V.3
Smulders, E.4
Gilde, M.-J.5
Roelofs, G.6
Sasserath, J.N.7
Elwenspoek, M.C.8
-
214
-
-
10744229608
-
2 etching process
-
2 etching process J. Micromech. Microeng. 14 190
-
(2004)
J. Micromech. Microeng.
, vol.14
, Issue.2
, pp. 190
-
-
Dussart, R.1
Boufnichel, M.2
Marcos, G.3
Lefaucheux, P.4
Basillais, A.5
Benoit, R.6
Tillocher, T.7
Mellhaoui, X.8
Estrade-Szwarckopf, H.9
Ranson, P.10
-
215
-
-
28644448956
-
y passivation layer in silicon cryoetching
-
y passivation layer in silicon cryoetching J. Appl. Phys. 98 104901
-
(2005)
J. Appl. Phys.
, vol.98
, Issue.10
, pp. 104901
-
-
Mellhaoui, X.1
Dussart, R.2
Tillocher, T.3
Lefaucheux, P.4
Ranson, P.5
Boufnichel, M.6
Overzet, L.J.7
-
216
-
-
33745509453
-
Oxidation threshold in silicon etching at cryogenic temperatures
-
Tillocher T, Dussart R, Mellhaoui X, Lefaucheux P, Mekkakia Maaza N, Ranson P, Boufnichel M and Overzet L J 2006 Oxidation threshold in silicon etching at cryogenic temperatures J. Vac. Sci. Technol. A 24 1073
-
(2006)
J. Vac. Sci. Technol.
, vol.24
, Issue.4
, pp. 1073
-
-
Tillocher, T.1
Dussart, R.2
Mellhaoui, X.3
Lefaucheux, P.4
Mekkakia Maaza, N.5
Ranson, P.6
Boufnichel, M.7
Overzet, L.J.8
-
217
-
-
34547828163
-
High-rate anisotropic silicon etching with the expanding thermal plasma technique
-
Blauw M A, van Lankvelt P J W, Roozeboom F, van de Sanden M C M and Kessels W M M 2007 High-rate anisotropic silicon etching with the expanding thermal plasma technique Electrochem. Solid-State Lett. 10 H309
-
(2007)
Electrochem. Solid-State Lett.
, vol.10
, Issue.10
, pp. 309
-
-
Blauw, M.A.1
Van Lankvelt, P.J.W.2
Roozeboom, F.3
Van De Sanden, M.C.M.4
Kessels, W.M.M.5
-
218
-
-
34247611939
-
The passivation layer formation in the cryo-etching plasma process
-
Dussart R, Mellhaoui X, Tillocher T, Lefaucheux P, Boufnichel M and Ranson P 2007 The passivation layer formation in the cryo-etching plasma process Microelectron. Eng. 84 1128
-
(2007)
Microelectron. Eng.
, vol.84
, Issue.5-8
, pp. 1128
-
-
Dussart, R.1
Mellhaoui, X.2
Tillocher, T.3
Lefaucheux, P.4
Boufnichel, M.5
Ranson, P.6
-
219
-
-
34247570954
-
Silicon cryo-etching of deep holes
-
Tillocher T, Dussart R, Mellhaoui X, Lefaucheux P, Boufnichel M and Ranson P 2007 Silicon cryo-etching of deep holes Microelectron. Eng. 84 1120
-
(2007)
Microelectron. Eng.
, vol.84
, Issue.5-8
, pp. 1120
-
-
Tillocher, T.1
Dussart, R.2
Mellhaoui, X.3
Lefaucheux, P.4
Boufnichel, M.5
Ranson, P.6
-
221
-
-
0029325460
-
Black silicon method: I. A universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench etching with profile control
-
Jansen H V, de Boer M J, Legtenberg R and Elwenspoek M C 1995 Black silicon method: I. A universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench etching with profile control J. Micromech. Microeng. 5 115
-
(1995)
J. Micromech. Microeng.
, vol.5
, Issue.2
, pp. 115
-
-
Jansen, H.V.1
De Boer, M.J.2
Legtenberg, R.3
Elwenspoek, M.C.4
-
223
-
-
0029255386
-
Highly anisotropic room-temperature sub-half-micron Si reactive ion etching using fluorine only containing gases
-
Gogolides E, Grigoropoulos S and Nassiopoulos A G 1995 Highly anisotropic room-temperature sub-half-micron Si reactive ion etching using fluorine only containing gases Microelectron. Eng. 27 449
-
(1995)
Microelectron. Eng.
, vol.27
, Issue.1-4
, pp. 449
-
-
Gogolides, E.1
Grigoropoulos, S.2
Nassiopoulos, A.G.3
-
226
-
-
12844261289
-
Highly anisotropic silicon and polysilicon room-temperature etching using fluorine-based high-density plasmas
-
Tserepi A, Gogolides E, Cardinaud C, Rolland L and Turban G 1998 Highly anisotropic silicon and polysilicon room-temperature etching using fluorine-based high-density plasmas Microelectron. Eng. 41-42 411
-
(1998)
Microelectron. Eng.
, vol.41-42
, pp. 411
-
-
Tserepi, A.1
Gogolides, E.2
Cardinaud, C.3
Rolland, L.4
Turban, G.5
-
228
-
-
33845291168
-
Fabrication of high-aspect-ratio silicon nanopillar arrays with conventional reactive ion etching technique
-
Chang Y-F, Chou Q-R, Lin J-Y and Lee C-H 2007 Fabrication of high-aspect-ratio silicon nanopillar arrays with conventional reactive ion etching technique Appl. Phys. A 86 193
-
(2007)
Appl. Phys.
, vol.86
, Issue.2
, pp. 193
-
-
Chang, Y.-F.1
Chou, Q.-R.2
Lin, J.-Y.3
Lee, C.-H.4
-
229
-
-
61949463066
-
-
AEDEPT (Automatic Encyclopedia of Dry Etch Process Technology) Du Pont Electronics, Wilmington
-
AEDEPT (Automatic Encyclopedia of Dry Etch Process Technology) Du Pont Electronics, Wilmington, available at http://www.dupont.com/zyron
-
-
-
-
233
-
-
0022914473
-
New side wall protection technique in microwave plasma etching using a chopping method
-
Tsujimoto K, Tachi S, Ninomiya K, Suzuki K, Okudaira S and Nishimatsu S 1986 New side wall protection technique in microwave plasma etching using a chopping method 18th Conf. Solid State Devices and Materials (Tokyo) p 229
-
(1986)
18th Conf. Solid State Devices and Materials
, pp. 229
-
-
Tsujimoto, K.1
Tachi, S.2
Ninomiya, K.3
Suzuki, K.4
Okudaira, S.5
Nishimatsu, S.6
-
239
-
-
0033267057
-
Dry etching with gas chopping without rippled sidewalls
-
Volland B, Shi F, Hudek P, Heerlein H and Rangelow I W 1999 Dry etching with gas chopping without rippled sidewalls J. Vac. Sci. Technol. B 17 2768
-
(1999)
J. Vac. Sci. Technol.
, vol.17
, Issue.6
, pp. 2768
-
-
Volland, B.1
Shi, F.2
Hudek, P.3
Heerlein, H.4
Rangelow, I.W.5
-
241
-
-
0037683086
-
Challenges, developments and applications of silicon deep reactive ion etching
-
Laermer F and Urban A 2003 Challenges, developments and applications of silicon deep reactive ion etching Microelectron. Eng. 67-68 349
-
(2003)
Microelectron. Eng.
, vol.67-68
, pp. 349
-
-
Laermer, F.1
Urban, A.2
-
243
-
-
0024740730
-
Time-modulation technique for low-temperature etching
-
Kure T, Kawakami H, Okudaira S, Tachi S, Tsujimoto K and Kanetomo M 1989 Time-modulation technique for low-temperature etching Proc. Electrochem. Soc. 90 175
-
(1989)
Proc. Electrochem. Soc.
, vol.90
, pp. 175
-
-
Kure, T.1
Kawakami, H.2
Okudaira, S.3
Tachi, S.4
Tsujimoto, K.5
Kanetomo, M.6
-
245
-
-
0020247420
-
DRAM
-
Hayasaka A, Tamaki Y, Kawamura M, Ogiue K and Ohwaki S 1982 DRAM IEDM Tech. Dig. 82 62
-
(1982)
IEDM Tech. Dig.
, vol.82
, pp. 62
-
-
Hayasaka, A.1
Tamaki, Y.2
Kawamura, M.3
Ogiue, K.4
Ohwaki, S.5
-
246
-
-
0020289054
-
DRAM
-
Sunami H, Kure T, Hashimoto N, Itoh K, Toyabe T and Asai S 1982 DRAM IEDM Tech. Dig. 82 806
-
(1982)
IEDM Tech. Dig.
, vol.82
, pp. 806
-
-
Sunami, H.1
Kure, T.2
Hashimoto, N.3
Itoh, K.4
Toyabe, T.5
Asai, S.6
-
247
-
-
0022092551
-
Structural effects on a submicron trench process
-
Chin D, Dhong S H and Long G J 1985 Structural effects on a submicron trench process J. Electrochem. Soc. 132 1705
-
(1985)
J. Electrochem. Soc.
, vol.132
, Issue.7
, pp. 1705
-
-
Chin, D.1
Dhong, S.H.2
Long, G.J.3
-
248
-
-
0041799909
-
Process monitoring of a C:H plasma deposition
-
Wild Ch, Wagner J and Koidl P 1987 Process monitoring of a C:H plasma deposition J. Vac. Sci. Technol. A 5 2227
-
(1987)
J. Vac. Sci. Technol.
, vol.5
, Issue.4
, pp. 2227
-
-
Ch, W.1
Wagner, J.2
Koidl, P.3
-
249
-
-
0023995557
-
Effect of potential field on ion deflection and shape evolution of trenches during plasma-assisted etching
-
Economou D J and Alkire R C 1988 Effect of potential field on ion deflection and shape evolution of trenches during plasma-assisted etching J. Electrochem. Soc. 135 943
-
(1988)
J. Electrochem. Soc.
, vol.135
, Issue.4
, pp. 941
-
-
Economou, D.J.1
Alkire, R.C.2
-
251
-
-
0024030385
-
Crystal-orientation dependent etch rates and a trench model for dry etching
-
Ulacia F J I, Petti C J and McVittie J P 1988 Crystal-orientation dependent etch rates and a trench model for dry etching J. Electrochem. Soc. 135 1521
-
(1988)
J. Electrochem. Soc.
, vol.135
, Issue.6
, pp. 1521
-
-
Ulacia, F.J.I.1
Petti, C.J.2
McVittie, J.P.3
-
252
-
-
36549100677
-
Conductance considerations in the reactive ion etching of high aspect ratio features
-
Coburn J W and Winters H F 1989 Conductance considerations in the reactive ion etching of high aspect ratio features Appl. Phys. Lett. 55 2730
-
(1989)
Appl. Phys. Lett.
, vol.55
, Issue.26
, pp. 2730
-
-
Coburn, J.W.1
Winters, H.F.2
-
254
-
-
0010820382
-
Kinetic theory of bombardment induced interface evolution
-
Jurgensen C W and Shaqfeh E S G 1989 Kinetic theory of bombardment induced interface evolution J. Vac. Sci. Technol. B 7 1488
-
(1989)
J. Vac. Sci. Technol.
, vol.7
, Issue.6
, pp. 1488
-
-
Jurgensen, C.W.1
Shaqfeh, E.S.G.2
-
255
-
-
0024662349
-
Discussion in profile phenomena in sub-νm resist reactive ion etching
-
Pilz W, Hubner H, Heinrich F, Hoffmann P and Franosch M 1989 Discussion in profile phenomena in sub-νm resist reactive ion etching Microelectron. Eng. 9 491
-
(1989)
Microelectron. Eng.
, vol.9
, Issue.1-4
, pp. 491
-
-
Pilz, W.1
Hubner, H.2
Heinrich, F.3
Hoffmann, P.4
Franosch, M.5
-
256
-
-
36549097874
-
Simulation of reactive ion etching pattern transfer
-
Shaqfeh E S G and Jurgensen C W 1989 Simulation of reactive ion etching pattern transfer J. Appl. Phys. 66 4664
-
(1989)
J. Appl. Phys.
, vol.66
, Issue.10
, pp. 4664
-
-
Shaqfeh, E.S.G.1
Jurgensen, C.W.2
-
257
-
-
36549098129
-
A two-dimensional computer simulation for dry etching using Monte Carlo techniques
-
Ulacia J I and P McVittie J 1989 A two-dimensional computer simulation for dry etching using Monte Carlo techniques J. Appl. Phys. 65 1484
-
(1989)
J. Appl. Phys.
, vol.65
, Issue.4
, pp. 1484
-
-
Ulacia, J.I.1
McVittie J, P.2
-
258
-
-
0000393088
-
Structured ion energy distribution in radio frequency glow-discharge systems
-
Wild Ch and Koidl P 1989 Structured ion energy distribution in radio frequency glow-discharge systems Appl. Phys. Lett. 54 505
-
(1989)
Appl. Phys. Lett.
, vol.54
, Issue.6
, pp. 505
-
-
Ch, W.1
Koidl, P.2
-
259
-
-
0000302625
-
The influence of substrate topography on ion bombardment in plasma etching
-
Ingram S G 1990 The influence of substrate topography on ion bombardment in plasma etching J. Appl. Phys. 68 500
-
(1990)
J. Appl. Phys.
, vol.68
, Issue.2
, pp. 500
-
-
Ingram, S.G.1
-
261
-
-
0026002337
-
Aperture effect in plasma etching of deep silicon trenches
-
Abachev M K, Baryshev Y P, Lukichev V F, Orlikovsky A A and Valiev K A 1991 Aperture effect in plasma etching of deep silicon trenches Vacuum 42 129
-
(1991)
Vacuum
, vol.42
, Issue.1-2
, pp. 129
-
-
Abachev, M.K.1
Baryshev, Y.P.2
Lukichev, V.F.3
Orlikovsky, A.A.4
Valiev, K.A.5
-
262
-
-
0346651219
-
Charging of pattern features during plasma etching
-
Arnold J C and Sawin H H 1991 Charging of pattern features during plasma etching J. Appl. Phys. 70 5314
-
(1991)
J. Appl. Phys.
, vol.70
, Issue.10
, pp. 5314
-
-
Arnold, J.C.1
Sawin, H.H.2
-
263
-
-
0039197065
-
Image potentials and the dry etching of submicron trenches with low-energy ions
-
Davis R J 1991 Image potentials and the dry etching of submicron trenches with low-energy ions Appl. Phys. Lett. 59 1717
-
(1991)
Appl. Phys. Lett.
, vol.59
, Issue.14
, pp. 1717
-
-
Davis, R.J.1
-
264
-
-
0026204736
-
Feature-size dependence of etch rate in reactive ion etching
-
Lee Y H and Zhuo Z H 1991 Feature-size dependence of etch rate in reactive ion etching J. Electrochem. Soc. 138 2439
-
(1991)
J. Electrochem. Soc.
, vol.138
, Issue.8
, pp. 2439
-
-
Lee, Y.H.1
Zhuo, Z.H.2
-
265
-
-
0009984888
-
Angular ion and neutral energy distribution in a collisional rf sheath
-
Manenschijn A and Goedheer W J 1991 Angular ion and neutral energy distribution in a collisional rf sheath J. Appl. Phys. 69 2923
-
(1991)
J. Appl. Phys.
, vol.69
, Issue.5
, pp. 2923
-
-
Manenschijn, A.1
Goedheer, W.J.2
-
269
-
-
0001590687
-
Influence of reactant transport on fluorine reactive ion etching of deep trenches in silicon
-
Arnold J C, Gray D C and Sawin H H 1993 Influence of reactant transport on fluorine reactive ion etching of deep trenches in silicon J. Vac. Sci. Technol. B 11 2071
-
(1993)
J. Vac. Sci. Technol.
, vol.11
, Issue.6
, pp. 2071
-
-
Arnold, J.C.1
Gray, D.C.2
Sawin, H.H.3
-
271
-
-
21344483121
-
Angular impact energy distributions of argon ions at the powered electrode of a helicon plasma source
-
Janes J 1994 Angular impact energy distributions of argon ions at the powered electrode of a helicon plasma source J. Vac. Sci. Technol. A 12 97
-
(1994)
J. Vac. Sci. Technol.
, vol.12
, Issue.1
, pp. 97
-
-
Janes, J.1
-
272
-
-
0002785620
-
Using electron cyclotron resonance (ECR) source to etch polyimide molds for fabrication of electroplated microstructures
-
Juan W H, Pang S W, Selvakumar A, Putty M W and Najafi K 1994 Using electron cyclotron resonance (ECR) source to etch polyimide molds for fabrication of electroplated microstructures Solid-state S&A Workshop (Hilton Head, SC) p 82
-
(1994)
Solid-state S&A Workshop
, pp. 82
-
-
Juan, W.H.1
Pang, S.W.2
Selvakumar, A.3
Putty, M.W.4
Najafi, K.5
-
273
-
-
0029293788
-
Aspect ratio independent etching: Fact or fantasy?
-
Bailey A D and Gottscho R A 1995 Aspect ratio independent etching: fact or fantasy? Japan. J. Appl. Phys. 34 2083
-
(1995)
Japan. J. Appl. Phys.
, vol.34
, Issue.PART 1
, pp. 2083
-
-
Bailey, A.D.1
Gottscho, R.A.2
-
274
-
-
0029205997
-
Black silicon method: IV. the fabrication of three-dimensional structures in silicon with high aspect ratios for scanning probe microscopy and other applications
-
Jansen H V, de Boer M J, Otter B and Elwenspoek M C 1995 Black silicon method: IV. The fabrication of three-dimensional structures in silicon with high aspect ratios for scanning probe microscopy and other applications Proc. IEEE MEMS p 88
-
(1995)
Proc. IEEE MEMS
, pp. 88
-
-
Jansen, H.V.1
De Boer, M.J.2
Otter, B.3
Elwenspoek, M.C.4
-
275
-
-
61949181387
-
-
Manenschijn A 1991 Ion bombardment and ion-assisted etching in rf discharges Thesis Technical University of Delft
-
(1991)
Thesis
-
-
Manenschijn, A.1
-
276
-
-
0005208812
-
Observation of inverse reactive ion etching lag for silicon dioxide etching in inductively coupled plasmas
-
Doemling M F, Rueger N R and Oehrlein G S 1996 Observation of inverse reactive ion etching lag for silicon dioxide etching in inductively coupled plasmas Appl. Phys. Lett. 68 10
-
(1996)
Appl. Phys. Lett.
, vol.68
, Issue.1
, pp. 10
-
-
Doemling, M.F.1
Rueger, N.R.2
Oehrlein, G.S.3
-
277
-
-
0029770938
-
Black silicon method: VI. High aspect ratio trench etching for MEMS applications
-
Jansen H V, de Boer M J and Elwenspoek M C 1996 Black silicon method: VI. High aspect ratio trench etching for MEMS applications Proc. IEEE MEMS p 250
-
(1996)
Proc. IEEE MEMS
, pp. 250
-
-
Jansen, H.V.1
De Boer, M.J.2
Elwenspoek, M.C.3
-
278
-
-
0029732790
-
Experimental study and computer simulation of aspect ratio dependent effects observed in silicon reactive ion etching
-
Yunkin V A, Lukichev V F, Rudenko K V, Fisher D and Voges E 1996 Experimental study and computer simulation of aspect ratio dependent effects observed in silicon reactive ion etching Microelectron. Eng. 30 345
-
(1996)
Microelectron. Eng.
, vol.30
, Issue.1-4
, pp. 345
-
-
Yunkin, V.A.1
Lukichev, V.F.2
Rudenko, K.V.3
Fisher, D.4
Voges, E.5
-
279
-
-
0031072583
-
Black silicon method: VII. RIE lag in high aspect ratio trench etching of silicon
-
Jansen H V, de Boer M J, Wiegerink R, Tas N R, Smulders E, Neagu C and Elwenspoek M C 1997 Black silicon method: VII. RIE lag in high aspect ratio trench etching of silicon Microelectron. Eng. 35 45
-
(1997)
Microelectron. Eng.
, vol.35
, Issue.1-4
, pp. 45
-
-
Jansen, H.V.1
De Boer, M.J.2
Wiegerink, R.3
Tas, N.R.4
Smulders, E.5
Neagu, C.6
Elwenspoek, M.C.7
-
280
-
-
0041636126
-
Fabrication of multi-layer substrates for high aspect ratio single crystalline microstructures
-
Gui C Q, de Boer M, Gardeniers J G E, Jansen H, Berenschot J W and Elwenspoek M 1998 Fabrication of multi-layer substrates for high aspect ratio single crystalline microstructures Sensors Actuators A 70 61
-
(1998)
Sensors Actuators
, vol.70
, Issue.1-2
, pp. 61
-
-
Gui, C.Q.1
De Boer, M.2
Gardeniers, J.G.E.3
Jansen, H.4
Berenschot, J.W.5
Elwenspoek, M.6
-
281
-
-
0033442835
-
Analytical modelling of silicon etch process in high-density plasma
-
Abdollahi-Alibeik S, McVittie J P, Saraswath K C, Sukharev V and Schoenborn P 1999 Analytical modelling of silicon etch process in high-density plasma J. Vac. Sci. Technol. A 17 2485
-
(1999)
J. Vac. Sci. Technol.
, vol.17
, Issue.5
, pp. 2485
-
-
Abdollahi-Alibeik, S.1
McVittie, J.P.2
Saraswath, K.C.3
Sukharev, V.4
Schoenborn, P.5
-
282
-
-
0032753082
-
Characterization of a time multiplexed inductively coupled plasma etcher
-
Ayon A A, Braff R, Lin C C, Sawin H H and Schmidt M A 1999 Characterization of a time multiplexed inductively coupled plasma etcher J. Electrochem. Soc. 146 339
-
(1999)
J. Electrochem. Soc.
, vol.146
, Issue.1
, pp. 339
-
-
Ayon, A.A.1
Braff, R.2
Lin, C.C.3
Sawin, H.H.4
Schmidt, M.A.5
-
283
-
-
0008284330
-
Modeling of the sheath and the energy distribution of ions bombarding RF-biased substrates in high-density plasma reactors and comparison to experimental measurements
-
Edelberg E A and Aydil E S 1999 Modeling of the sheath and the energy distribution of ions bombarding RF-biased substrates in high-density plasma reactors and comparison to experimental measurements J. Appl. Phys. 86 4799
-
(1999)
J. Appl. Phys.
, vol.86
, Issue.9
, pp. 4799
-
-
Edelberg, E.A.1
Aydil, E.S.2
-
284
-
-
33645340155
-
Pattern shape effects and artefacts in deep silicon etching
-
Kiihamaki J and Franssila S 1999 Pattern shape effects and artefacts in deep silicon etching J. Vac. Sci. Technol. A 17 2280
-
(1999)
J. Vac. Sci. Technol.
, vol.17
, Issue.4
, pp. 2280
-
-
Kiihamaki, J.1
Franssila, S.2
-
285
-
-
0033133117
-
Scaling of silicon trench etch rates and profiles in plasma etching
-
Lukichev V F and Yunkin V A 1999 Scaling of silicon trench etch rates and profiles in plasma etching Microelectron. Eng. 46 315
-
(1999)
Microelectron. Eng.
, vol.46
, Issue.1-4
, pp. 315
-
-
Lukichev, V.F.1
Yunkin, V.A.2
-
286
-
-
0034318140
-
Kinetics and crystal orientation dependence in high aspect ratio silicon dry etching
-
Blauw M A, Zijlstra T, Bakker R A and van der Drift E 2000 Kinetics and crystal orientation dependence in high aspect ratio silicon dry etching J. Vac. Sci. Technol. B 18 3453
-
(2000)
J. Vac. Sci. Technol.
, vol.18
, Issue.6
, pp. 3453
-
-
Blauw, M.A.1
Zijlstra, T.2
Bakker, R.A.3
Van Der Drift, E.4
-
287
-
-
0033891780
-
Micromachining of buried micro channels in silicon
-
de Boer M J, Tjerkstra R W, Berenschot J W, Jansen H V, Burger G J, Gardeniers J G E, Elwenspoek M and van den Berg A 2000 Micromachining of buried micro channels in silicon J. Microelectromech. Syst. 9 94
-
(2000)
J. Microelectromech. Syst.
, vol.9
, Issue.1
, pp. 94
-
-
De Boer, M.J.1
Tjerkstra, R.W.2
Berenschot, J.W.3
Jansen, H.V.4
Burger, G.J.5
Gardeniers, J.G.E.6
Elwenspoek, M.7
Van Den Berg, A.8
-
288
-
-
0034270086
-
Etching methodologies in <111>-oriented silicon wafers
-
Oosterbroek R E, Berenschot J W, Jansen H V, Nijdam A J, Pandraud G, van den Berg A and Elwenspoek M C 2000 Etching methodologies in <111>-oriented silicon wafers J. Microelectromech. Syst. 9 390
-
(2000)
J. Microelectromech. Syst.
, vol.9
, Issue.3
, pp. 390
-
-
Oosterbroek, R.E.1
Berenschot, J.W.2
Jansen, H.V.3
Nijdam, A.J.4
Pandraud, G.5
Van Den Berg, A.6
Elwenspoek, M.C.7
-
289
-
-
0034292238
-
Dynamics of plasma-surface interactions and feature profile evolution during pulsed plasma etching
-
Ono K and Tuda M 2000 Dynamics of plasma-surface interactions and feature profile evolution during pulsed plasma etching Thin Solid Films 374 208
-
(2000)
Thin Solid Films
, vol.374
, Issue.2
, pp. 208
-
-
Ono, K.1
Tuda, M.2
-
290
-
-
0034156118
-
2 and HBr plasma etching of silicon
-
2 and HBr plasma etching of silicon J. Vac. Sci. Technol. B 18 820
-
(2000)
J. Vac. Sci. Technol.
, vol.18
, Issue.2
, pp. 820
-
-
Vyvoda, M.A.1
Li, M.2
Graves, D.B.3
Lee, H.4
Malyshev, M.V.5
Klemens, F.P.6
Lee, J.T.C.7
Donnely, V.M.8
-
291
-
-
0035519156
-
Balancing the etching and passivation in time-multiplexed deep dry etching of silicon
-
Blauw M A, Zijlstra T and van der Drift E 2001 Balancing the etching and passivation in time-multiplexed deep dry etching of silicon J. Vac. Sci. Technol. B 19 2930
-
(2001)
J. Vac. Sci. Technol.
, vol.19
, Issue.6
, pp. 2930
-
-
Blauw, M.A.1
Zijlstra, T.2
Van Der Drift, E.3
-
293
-
-
0035519453
-
In situ measurement of aspect ratio dependent etch rates of polysilicon in an inductively coupled fluorine plasma
-
Lill Th, Grimbergen M and Mui D 2001 In situ measurement of aspect ratio dependent etch rates of polysilicon in an inductively coupled fluorine plasma J. Vac. Sci. Technol. B 19 2123
-
(2001)
J. Vac. Sci. Technol.
, vol.19
, Issue.6
, pp. 2123
-
-
Th, L.1
Grimbergen, M.2
Mui, D.3
-
294
-
-
0035450041
-
The application of secondary effects in high aspect ratio dry etching for the fabrication of MEMS
-
Volland B E, Heerlein H, Kostic I and Rangelow I W 2001 The application of secondary effects in high aspect ratio dry etching for the fabrication of MEMS Microelectron. Eng. 57-58 641
-
(2001)
Microelectron. Eng.
, vol.57-58
, pp. 641
-
-
Volland, B.E.1
Heerlein, H.2
Kostic, I.3
Rangelow, I.W.4
-
295
-
-
0035369866
-
Direct integration of micromachined pipettes in a flow channel for single DNA molecule study by optical tweezers
-
Rusu C, Greve J, Brugger J, van Berg A D, Oever R V, de Boer M J, Jansen H V and Elwenspoek M 2001 Direct integration of micromachined pipettes in a flow channel for single DNA molecule study by optical tweezers J. Microelectromech. Syst. 10 238
-
(2001)
J. Microelectromech. Syst.
, vol.10
, Issue.2
, pp. 238
-
-
Rusu, C.1
Greve, J.2
Brugger, J.3
Van Berg, A.D.4
Oever, R.V.5
De Boer, M.J.6
Jansen, H.V.7
Elwenspoek, M.8
-
296
-
-
0036883214
-
Advanced time-multiplexed plasma etching of high aspect ratio silicon structures
-
Blauw M A, Craciun G, Sloof W G, French P J and van der Drift E 2002 Advanced time-multiplexed plasma etching of high aspect ratio silicon structures J. Vac. Sci. Technol. B 20 3106
-
(2002)
J. Vac. Sci. Technol.
, vol.20
, Issue.6
, pp. 3106
-
-
Blauw, M.A.1
Craciun, G.2
Sloof, W.G.3
French, P.J.4
Van Der Drift, E.5
-
297
-
-
0035982798
-
Profile control of high aspect ratio trenches of silicon: I. Effect of process parameters on local bowing
-
Boufnichel M, Aachboun S, Grangeon F, Lefaucheux P and Ranson P 2002 Profile control of high aspect ratio trenches of silicon: I. Effect of process parameters on local bowing J. Vac. Sci. Technol. B 20 1508
-
(2002)
J. Vac. Sci. Technol.
, vol.20
, Issue.4
, pp. 1508
-
-
Boufnichel, M.1
Aachboun, S.2
Grangeon, F.3
Lefaucheux, P.4
Ranson, P.5
-
298
-
-
0036643807
-
The role of mask charging in profile evolution and gate oxide degradation
-
Giapis K P, Hwang G S and Joubert O 2002 The role of mask charging in profile evolution and gate oxide degradation Microelectron. Eng. 61 835
-
(2002)
Microelectron. Eng.
, vol.61-62
, Issue.1-4
, pp. 835
-
-
Giapis, K.P.1
Hwang, G.S.2
Joubert, O.3
-
299
-
-
0036883212
-
Profile simulation of gas chopping based etching processes
-
Volland B E, Ivanov Tzv and Rangelow I W 2002 Profile simulation of gas chopping based etching processes J. Vac. Sci. Technol. B 20 3111
-
(2002)
J. Vac. Sci. Technol.
, vol.20
, Issue.6
, pp. 3111
-
-
Volland, B.E.1
Tzv, I.2
Rangelow, I.W.3
-
300
-
-
0042768029
-
Lithography factors that determine the aspect ratio of electron cyclotron resonance plasma etched HgCdTe trenches
-
Benson J D et al 2003 Lithography factors that determine the aspect ratio of electron cyclotron resonance plasma etched HgCdTe trenches J. Electron. Mater. 32 686
-
(2003)
J. Electron. Mater.
, vol.32
, Issue.7
, pp. 686
-
-
Benson, J.D.1
Al, E.2
-
301
-
-
0344084280
-
Modeling of fluorine-based high-density plasma etching of anisotropic silicon trenches with oxygen sidewall passivation
-
Blauw M A, van der Drift E, Marcos G and Rhallabi A 2003 Modeling of fluorine-based high-density plasma etching of anisotropic silicon trenches with oxygen sidewall passivation J. Appl. Phys. 94 6311
-
(2003)
J. Appl. Phys.
, vol.94
, Issue.10
, pp. 6311
-
-
Blauw, M.A.1
Van Der Drift, E.2
Marcos, G.3
Rhallabi, A.4
-
302
-
-
0037207736
-
Profile control of high aspect ratio trenches of silicon: II. Study of the mechanisms responsible for local bowing formation and elimination of this effect
-
Boufnichel M, Aachboun S, Lefaucheux P and Ranson P 2003 Profile control of high aspect ratio trenches of silicon: II. Study of the mechanisms responsible for local bowing formation and elimination of this effect J. Vac. Sci. Technol. B 21 267
-
(2003)
J. Vac. Sci. Technol.
, vol.21
, Issue.1
, pp. 267
-
-
Boufnichel, M.1
Aachboun, S.2
Lefaucheux, P.3
Ranson, P.4
-
303
-
-
0042029592
-
Critical tasks in high aspect ratio silicon dry etching for MEMS
-
Rangelow I W 2003 Critical tasks in high aspect ratio silicon dry etching for MEMS J. Vac. Sci. Technol. A 21 1550
-
(2003)
J. Vac. Sci. Technol.
, vol.21
, Issue.4
, pp. 1550
-
-
Rangelow, I.W.1
-
304
-
-
0041766135
-
Inductively coupled plasma etching of HgCdTe
-
Smith E P G, Gleason J K, Pham L T, Patten E A and Welkowsky M S 2003 Inductively coupled plasma etching of HgCdTe J. Electron. Mater. 32 816
-
(2003)
J. Electron. Mater.
, vol.32
, Issue.7
, pp. 816
-
-
Smith, E.P.G.1
Gleason, J.K.2
Pham, L.T.3
Patten, E.A.4
Welkowsky, M.S.5
-
305
-
-
0043269193
-
Scalability of dry-etch processing for small unit-cell HgCdTe focal-plane arrays
-
Smith E P G et al 2003 Scalability of dry-etch processing for small unit-cell HgCdTe focal-plane arrays J. Electron. Mater. 32 821
-
(2003)
J. Electron. Mater.
, vol.32
, Issue.7
, pp. 821
-
-
Smith, E.P.G.1
Al, E.2
-
306
-
-
0043269236
-
The effect of electron cyclotron resonance plasma parameters on the aspect ratio of trenches in HgCdTe
-
Stoltz A J, Benson J D, Boyd P R, Martinka M, Varesi J B, Kaleczyc A W, Smith E P G, Johnson S M, Radford W A and Dinan J H 2003 The effect of electron cyclotron resonance plasma parameters on the aspect ratio of trenches in HgCdTe J. Electron. Mater. 32 692
-
(2003)
J. Electron. Mater.
, vol.32
, Issue.7
, pp. 692
-
-
Stoltz, A.J.1
Benson, J.D.2
Boyd, P.R.3
Martinka, M.4
Varesi, J.B.5
Kaleczyc, A.W.6
Smith, E.P.G.7
Johnson, S.M.8
Radford, W.A.9
Dinan, J.H.10
-
307
-
-
0038697336
-
The influence of reactant transport on the profiles of gas chopping etching processes: A simulation approach
-
Volland B E and Rangelow I W 2003 The influence of reactant transport on the profiles of gas chopping etching processes: a simulation approach Microelectron. Eng. 67-68 338
-
(2003)
Microelectron. Eng.
, vol.67-68
, pp. 338
-
-
Volland, B.E.1
Rangelow, I.W.2
-
308
-
-
3042792753
-
Determination of the ion angular distribution for electron cyclotron resonance, plasma-etched HgCdTe trenches
-
Benson J D, Stoltz A J, Varesi J B, Martinka M, Kaleczyc A W, Almeida L A, Boyd P R and Dinan J H 2004 Determination of the ion angular distribution for electron cyclotron resonance, plasma-etched HgCdTe trenches J. Electron. Mater. 33 543
-
(2004)
J. Electron. Mater.
, vol.33
, Issue.6
, pp. 543
-
-
Benson, J.D.1
Stoltz, A.J.2
Varesi, J.B.3
Martinka, M.4
Kaleczyc, A.W.5
Almeida, L.A.6
Boyd, P.R.7
Dinan, J.H.8
-
309
-
-
2342464265
-
Geometrical pattern effect on silicon deep etching by an inductively coupled plasma system
-
Chung C-K 2004 Geometrical pattern effect on silicon deep etching by an inductively coupled plasma system J. Micromech. Microeng. 14 656
-
(2004)
J. Micromech. Microeng.
, vol.14
, Issue.4
, pp. 656
-
-
Chung, C.-K.1
-
312
-
-
15344339765
-
Origin, control and elimination of undercut in silicon deep plasma etching in the cryogenic process
-
Boufnichel M, Lefaucheux P, Aachboun S, Dussart R and Ranson P 2005 Origin, control and elimination of undercut in silicon deep plasma etching in the cryogenic process Microelectron. Eng. 77 327
-
(2005)
Microelectron. Eng.
, vol.77
, Issue.3-4
, pp. 327
-
-
Boufnichel, M.1
Lefaucheux, P.2
Aachboun, S.3
Dussart, R.4
Ranson, P.5
-
314
-
-
33845602335
-
High aspect ratio nano-structures (HARNS) for photonic MEMS based on vertical DBR architecture
-
Marty F, Saadany B, Bourouina T, Mita Y and Shibata T 2005 High aspect ratio nano-structures (HARNS) for photonic MEMS based on vertical DBR architecture IEEE Transducers'05 vol 2 p 1449
-
(2005)
IEEE Transducers'05
, vol.2
, pp. 1449
-
-
Marty, F.1
Saadany, B.2
Bourouina, T.3
Mita, Y.4
Shibata, T.5
-
315
-
-
29044446773
-
Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect
-
Yeom J, Wu Y, Selby J C and Shannon M A 2005 Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect J. Vac. Sci. Technol. B 23 2319
-
(2005)
J. Vac. Sci. Technol.
, vol.23
, Issue.6
, pp. 2319
-
-
Yeom, J.1
Wu, Y.2
Selby, J.C.3
Shannon, M.A.4
-
316
-
-
61949274426
-
Fabrication of silicon-on-insulator MEM resonators with deep sub-micron transduction gaps
-
Badila N D, Hibert C, Mazza M and Ionescu A M 2006 Fabrication of silicon-on-insulator MEM resonators with deep sub-micron transduction gaps DTIP of MEMS and MOEMS (Stresa, Italy)
-
(2006)
DTIP of MEMS and MOEMS
-
-
Badila, N.D.1
Hibert, C.2
Mazza, M.3
Ionescu, A.M.4
-
318
-
-
33750949603
-
In situ measurement of the ion incidence angle dependence of the ion-enhanced etching yield in plasma reactors
-
Belen R J, Gomez S, Kiehlbauch M and Aydil E S 2006 In situ measurement of the ion incidence angle dependence of the ion-enhanced etching yield in plasma reactors J. Vac. Sci. Technol. A 24 2176
-
(2006)
J. Vac. Sci. Technol.
, vol.24
, Issue.6
, pp. 2176
-
-
Belen, R.J.1
Gomez, S.2
Kiehlbauch, M.3
Aydil, E.S.4
-
319
-
-
33745493459
-
Aspect ratio dependent etching lag reduction in deep silicon etch processes
-
Lai S L, Johnson D and Westerman R 2006 Aspect ratio dependent etching lag reduction in deep silicon etch processes J. Vac. Sci. Technol. A 24 1283
-
(2006)
J. Vac. Sci. Technol.
, vol.24
, Issue.4
, pp. 1283
-
-
Lai, S.L.1
Johnson, D.2
Westerman, R.3
-
320
-
-
61949259900
-
-
Perng J K 2006 High aspect-ratio nanoscale etching in silicon using electron beam lithography and deep reactive ion etching (DRIE) technique Dissertation Georgia Institute of Technology
-
(2006)
Dissertation
-
-
Perng, J.K.1
-
321
-
-
33846060729
-
Modeling and simulation of the lag effect in a deep reactive ion etching process
-
Tan Y, Zhou R, Zhang H, Lu G and Li Z 2006 Modeling and simulation of the lag effect in a deep reactive ion etching process J. Micromech. Microeng. 16 2570
-
(2006)
J. Micromech. Microeng.
, vol.16
, Issue.12
, pp. 2570
-
-
Tan, Y.1
Zhou, R.2
Zhang, H.3
Lu, G.4
Li, Z.5
-
322
-
-
36749096405
-
Rapid fabrication of high aspect ratio silicon nanopillars for chemical analysis
-
Sainiemi L, Keskinen H, Aromaa M, Luosujärvi L, Grigoras K, Kotiaho T, Mäkelä J M and Franssila S 2007 Rapid fabrication of high aspect ratio silicon nanopillars for chemical analysis Nanotechnology 18 505303
-
(2007)
Nanotechnology
, vol.18
, Issue.50
, pp. 505303
-
-
Sainiemi, L.1
Keskinen, H.2
Aromaa, M.3
Luosujärvi, L.4
Grigoras, K.5
Kotiaho, T.6
Mäkelä, J.M.7
Franssila, S.8
-
323
-
-
41049101701
-
Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography
-
Woldering L A, Tjerkstra W, Jansen H V, Setija I D and Vos W L 2008 Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography Nanotechnology 19 145304
-
(2008)
Nanotechnology
, vol.19
, Issue.14
, pp. 145304
-
-
Woldering, L.A.1
Tjerkstra, W.2
Jansen, H.V.3
Setija, I.D.4
Vos, W.L.5
-
324
-
-
61949346925
-
-
Loading
-
Loading
-
-
-
-
325
-
-
0017526342
-
The loading effect in plasma etching
-
Mogab C J 1977 The loading effect in plasma etching J. Electrochem. Soc. 124 1262
-
(1977)
J. Electrochem. Soc.
, vol.124
, Issue.8
, pp. 1262
-
-
Mogab, C.J.1
-
329
-
-
0029253255
-
Black silicon method: II. the effect of mask material and loading on the reactive ion etching of deep silicon trenches
-
Jansen H V, de Boer M J, Burger J, Legtenberg R and Elwenspoek M C 1995 Black silicon method: II. The effect of mask material and loading on the reactive ion etching of deep silicon trenches Microelectron. Eng. 27 475
-
(1995)
Microelectron. Eng.
, vol.27
, Issue.1-4
, pp. 475
-
-
Jansen, H.V.1
De Boer, M.J.2
Burger, J.3
Legtenberg, R.4
Elwenspoek, M.C.5
-
335
-
-
0000073348
-
Recherches expérimentales sur le mouvement des liquides dans les tubes de très petit diamètre
-
Poiseuille J L M 1846 Recherches expérimentales sur le mouvement des liquides dans les tubes de très petit diamètre Mem. Savants Etranger 9 433
-
(1846)
Mem. Savants Etranger
, vol.9
, pp. 433
-
-
Poiseuille, J.L.M.1
-
336
-
-
61949250703
-
On the molecular mobility of gases (Abstract)
-
Graham T 1862 On the molecular mobility of gases (Abstract) Proc. R. Soc. 12 611
-
(1862)
Proc. R. Soc.
, vol.12
, pp. 611
-
-
Graham, T.1
-
337
-
-
34250206683
-
On the molecular mobility of gases
-
Graham T 1863 On the molecular mobility of gases Phil. Trans. R. Soc. 153 385
-
(1863)
Phil. Trans. R. Soc.
, vol.153
, pp. 385
-
-
Graham, T.1
-
338
-
-
0343560163
-
On the viscosity or internal friction of air and other gases
-
Maxwell J C 1866 On the viscosity or internal friction of air and other gases Phil. Trans. R. Soc. 156 249
-
(1866)
Phil. Trans. R. Soc.
, vol.156
, pp. 249
-
-
Maxwell, J.C.1
-
339
-
-
0002550838
-
On the dynamical theory of gases (Abstract)
-
Maxwell J C 1866 On the dynamical theory of gases (Abstract) Proc. R. Soc. 15 167
-
(1866)
Proc. R. Soc.
, vol.15
, Issue.1
, pp. 167
-
-
Maxwell, J.C.1
-
340
-
-
0002550838
-
On the dynamical theory of gases
-
Maxwell J C 1867 On the dynamical theory of gases Phil. Trans. R. Soc. 157 49
-
(1867)
Phil. Trans. R. Soc.
, vol.157
, pp. 49
-
-
Maxwell, J.C.1
-
341
-
-
61949122928
-
Die atmolytische Strömung der Gase
-
Christiansen C 1890 Die atmolytische Strömung der Gase Ann. Phys. Bd.41 565
-
(1890)
Ann. Phys.
, vol.277
, Issue.11
, pp. 565
-
-
Christiansen, C.1
-
342
-
-
84977259887
-
Die Gesetze der Molekularströmung und der inneren Reibungsströmung der Gase durch Röhren
-
Knudsen M 1909 Die Gesetze der Molekularströmung und der inneren Reibungsströmung der Gase durch Röhren Ann. Phys. Bd.28 75
-
(1909)
Ann. Phys.
, vol.333
, Issue.1
, pp. 75
-
-
Knudsen, M.1
-
343
-
-
0028943192
-
The laws of molecular flow and of internal friction flow of gases through tubes
-
Knudsen M 1995 The laws of molecular flow and of internal friction flow of gases through tubes J. Membr. Sci. 100 23 (Engl. transl.)
-
(1995)
J. Membr. Sci.
, vol.100
, Issue.1
, pp. 23
-
-
Knudsen, M.1
-
344
-
-
36149004630
-
The molecular and the frictional flow of gases in tubes
-
Knudsen M and Fisher W J 1910 The molecular and the frictional flow of gases in tubes Phys. Rev. I 31 586
-
(1910)
Phys. Rev.
, vol.31
, pp. 586
-
-
Knudsen, M.1
Fisher, W.J.2
-
350
-
-
0016928558
-
Target heating during ion implantation
-
Parry P D 1976 Target heating during ion implantation J. Vac. Sci. Technol. 13 622
-
(1976)
J. Vac. Sci. Technol.
, vol.13
, Issue.2
, pp. 622
-
-
Parry, P.D.1
-
351
-
-
0017916815
-
Localized substrate heating during ion implantation
-
Parry P D 1978 Localized substrate heating during ion implantation J. Vac. Sci. Technol. 15 111
-
(1978)
J. Vac. Sci. Technol.
, vol.15
, Issue.1
, pp. 111
-
-
Parry, P.D.1
-
352
-
-
0018986322
-
Temperature measurements of glass substrates during plasma etching
-
Bond R A, Dzioba S and Naguib H M 1981 Temperature measurements of glass substrates during plasma etching J. Vac. Sci. Technol. 18 335
-
(1981)
J. Vac. Sci. Technol.
, vol.18
, Issue.2
, pp. 335
-
-
Bond, R.A.1
Dzioba, S.2
Naguib, H.M.3
-
353
-
-
18144434095
-
Reactive ion etching of silicon: Temperature effects
-
Schwartz G C and Schaible P M 1981 Reactive ion etching of silicon: temperature effects Proc. Electrochem. Soc. 81 133
-
(1981)
Proc. Electrochem. Soc.
, vol.81
, pp. 133
-
-
Schwartz, G.C.1
Schaible, P.M.2
-
354
-
-
0023382472
-
In-situ silicon-wafer temperature measurements during RF argon-ion plasma etching via fluoroptic thermometry
-
Hussla I, Enke K, Lorenz G and Stoll H 1987 In-situ silicon-wafer temperature measurements during RF argon-ion plasma etching via fluoroptic thermometry J. Phys. D: Appl. Phys. 20 889
-
(1987)
J. Phys. D: Appl. Phys.
, vol.20
, Issue.7
, pp. 889
-
-
Hussla, I.1
Enke, K.2
Lorenz, G.3
Stoll, H.4
-
355
-
-
0024740730
-
Time-modulation technique for low-temperature etching
-
Kure T, Kawakami H, Okudaira S, Tachi S, Tsujimoto K and Kanetomo M 1989 Time-modulation technique for low-temperature etching Proc. Electrochem. Soc. 90 175
-
(1989)
Proc. Electrochem. Soc.
, vol.90
, pp. 175
-
-
Kure, T.1
Kawakami, H.2
Okudaira, S.3
Tachi, S.4
Tsujimoto, K.5
Kanetomo, M.6
-
356
-
-
0000192110
-
Effects of ion bombardment and chemical reaction on wafer temperature during plasma etching
-
Durandet A, Joubert O, Pelletier J and Pichot M 1990 Effects of ion bombardment and chemical reaction on wafer temperature during plasma etching J. Appl. Phys. 67 3862
-
(1990)
J. Appl. Phys.
, vol.67
, Issue.8
, pp. 3862
-
-
Durandet, A.1
Joubert, O.2
Pelletier, J.3
Pichot, M.4
-
357
-
-
21144482939
-
Low temperature etch chuck: Modeling and experimental results of heat transfer and wafer temperature
-
Wright D R, Hartman D C, Sridharan U C, Kent M, Jasinski T and Kang S 1992 Low temperature etch chuck: modeling and experimental results of heat transfer and wafer temperature J. Vac. Sci. Technol. A 10 1065
-
(1992)
J. Vac. Sci. Technol.
, vol.10
, Issue.4
, pp. 1065
-
-
Wright, D.R.1
Hartman, D.C.2
Sridharan, U.C.3
Kent, M.4
Jasinski, T.5
Kang, S.6
-
358
-
-
0027594936
-
Modeling of plasma etching reactors including wafer heating effects
-
Aydil E S and Economou D J 1993 Modeling of plasma etching reactors including wafer heating effects J. Electrochem. Soc. 140 1471
-
(1993)
J. Electrochem. Soc.
, vol.140
, Issue.5
, pp. 1471
-
-
Aydil, E.S.1
Economou, D.J.2
-
359
-
-
0012701445
-
Etching on silicon membranes for sub-0.25-um x-ray mask manufacturing
-
Muller K P, Eib N K and Faure T B 1993 Etching on silicon membranes for sub-0.25-um x-ray mask manufacturing J. Vac. Sci. Technol. B 11 2270
-
(1993)
J. Vac. Sci. Technol.
, vol.11
, Issue.6
, pp. 2270
-
-
Muller, K.P.1
Eib, N.K.2
Faure, T.B.3
-
360
-
-
0030284314
-
Modeling of heat transport and wafer heating effects during plasma etching
-
Tretheway D and Aydil E S 1996 Modeling of heat transport and wafer heating effects during plasma etching J. Electrochem. Soc. 143 3674
-
(1996)
J. Electrochem. Soc.
, vol.143
, Issue.11
, pp. 3674
-
-
Tretheway, D.1
Aydil, E.S.2
-
361
-
-
0032001751
-
Modeling of rarefied gas heat conduction between wafer and susceptor
-
Denpoh K 1998 Modeling of rarefied gas heat conduction between wafer and susceptor IEEE Trans. Semicond. Manuf. 11 25
-
(1998)
IEEE Trans. Semicond. Manuf.
, vol.11
, Issue.1
, pp. 25
-
-
Denpoh, K.1
-
363
-
-
0035272986
-
Study of a mechanically clamped cryo-chuck device in a high-density plasma for deep anisotropic etching of silicon
-
Hibert C, Aachboun S, Boufnichel M and Ranson P 2001 Study of a mechanically clamped cryo-chuck device in a high-density plasma for deep anisotropic etching of silicon J. Vac. Sci. Technol. A 19 646
-
(2001)
J. Vac. Sci. Technol.
, vol.19
, Issue.2
, pp. 646
-
-
Hibert, C.1
Aachboun, S.2
Boufnichel, M.3
Ranson, P.4
-
365
-
-
33745482211
-
Effect of clamping ring materials and chuck temperature on the formation of silicon nanograss in deep RIE
-
Dixit P and Miao J 2006 Effect of clamping ring materials and chuck temperature on the formation of silicon nanograss in deep RIE J. Electrochem. Soc. 153 G771
-
(2006)
J. Electrochem. Soc.
, vol.153
, Issue.8
, pp. 771
-
-
Dixit, P.1
Miao, J.2
-
366
-
-
33845253575
-
Microscopic approach to an equation for the heat flow between wafer and E-chuck
-
Klick M and Bernt M 2006 Microscopic approach to an equation for the heat flow between wafer and E-chuck J. Vac. Sci. Technol. B 24 2509
-
(2006)
J. Vac. Sci. Technol.
, vol.24
, Issue.6
, pp. 2509
-
-
Klick, M.1
Bernt, M.2
-
367
-
-
50849132431
-
Measurement and modelling of time-and spatial-resolved wafer surface temperature in inductively coupled plasmas
-
Hsu C C, Titus M J and Graves D B 2007 Measurement and modelling of time-and spatial-resolved wafer surface temperature in inductively coupled plasmas J. Vac. Sci. Technol. A 25 607
-
(2007)
J. Vac. Sci. Technol.
, vol.25
, Issue.3
, pp. 607
-
-
Hsu, C.C.1
Titus, M.J.2
Graves, D.B.3
-
368
-
-
34249895267
-
Mask material effects in cryogenic deep reactive ion etching
-
Sainiemi L and Franssila S 2007 Mask material effects in cryogenic deep reactive ion etching J. Vac. Sci. Technol. B 25 801
-
(2007)
J. Vac. Sci. Technol.
, vol.25
, Issue.3
, pp. 801
-
-
Sainiemi, L.1
Franssila, S.2
-
369
-
-
33846423480
-
Effective thermal conductivity of continuous matrix-spherical dispersed phase composite with single-point interfacial thermal contact: Free molecular gas conduction in the gap
-
Thomas J R and Hasselman D P H 2007 Effective thermal conductivity of continuous matrix-spherical dispersed phase composite with single-point interfacial thermal contact: free molecular gas conduction in the gap J. Compos. Mater. 41 267
-
(2007)
J. Compos. Mater.
, vol.41
, Issue.3
, pp. 267
-
-
Thomas, J.R.1
Hasselman, D.P.H.2
-
371
-
-
61949450935
-
A new undercutting phenomenon in plasma etching
-
Abe H 1975 A new undercutting phenomenon in plasma etching Japan. J. Appl. Phys. 14 1825
-
(1975)
Japan. J. Appl. Phys.
, vol.14
, Issue.11
, pp. 1825
-
-
Abe, H.1
-
372
-
-
0020089108
-
Profile control with DC bias in plasma etching
-
Bruce R H and Reinberg A R 1982 Profile control with DC bias in plasma etching J. Electrochem. Soc. 129 393
-
(1982)
J. Electrochem. Soc.
, vol.129
, Issue.2
, pp. 393
-
-
Bruce, R.H.1
Reinberg, A.R.2
-
374
-
-
36549100807
-
Plasma potentials of 13.56-MHz RF argon glow discharges in a planar system
-
Kohler K, Coburn J W, Horne D E, Kay E and Keller J H 1985 Plasma potentials of 13.56-MHz RF argon glow discharges in a planar system J. Appl. Phys. 57 59
-
(1985)
J. Appl. Phys.
, vol.57
, Issue.1
, pp. 59
-
-
Kohler, K.1
Coburn, J.W.2
Horne, D.E.3
Kay, E.4
Keller, J.H.5
-
375
-
-
0343803129
-
Plasma etching in a multipolar discharge
-
Wicker T E and Mantei T D 1985 Plasma etching in a multipolar discharge J. Appl. Phys. 57 1638
-
(1985)
J. Appl. Phys.
, vol.57
, Issue.5
, pp. 1638
-
-
Wicker, T.E.1
Mantei, T.D.2
-
378
-
-
0041529520
-
Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing
-
Chang C-H, Leou K-C, Lin C, Lin T-L, Tseng C-W and Tsai C-H 2003 Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing J. Vac. Sci. Technol. A 21 1183
-
(2003)
J. Vac. Sci. Technol.
, vol.21
, Issue.4
, pp. 1183
-
-
Chang, C.-H.1
Leou, K.-C.2
Lin, C.3
Lin, T.-L.4
Tseng, C.-W.5
Tsai, C.-H.6
-
379
-
-
34347402031
-
Selective deposition of silicon at room temperature using DC microplasmas
-
Wilson C G and Gianchandani Y B 2007 Selective deposition of silicon at room temperature using DC microplasmas IEEE Trans. Plasma Sci. 35 573
-
(2007)
IEEE Trans. Plasma Sci.
, vol.35
, Issue.3
, pp. 573
-
-
Wilson, C.G.1
Gianchandani, Y.B.2
-
381
-
-
61949221343
-
Aspects particuliers de l'étude des métaux en coupes minces
-
Castaing R and Laborie P 1954 Aspects particuliers de l'étude des métaux en coupes minces C. R. Acad. Sci. Paris 238 1885
-
(1954)
C. R. Acad. Sci. Paris
, vol.238
, pp. 1885
-
-
Castaing, R.1
Laborie, P.2
-
382
-
-
36149022697
-
Controlled sputtering of metals by low-energy Hg ions
-
Wehner G K 1956 Controlled sputtering of metals by low-energy Hg ions Phys. Rev. 102 690
-
(1956)
Phys. Rev.
, vol.102
, Issue.3
, pp. 690
-
-
Wehner, G.K.1
-
383
-
-
3643090763
-
Theory of sputtering: I. Sputtering yield of amorphous and polycrystalline targets
-
Sigmund P 1969 Theory of sputtering: I. Sputtering yield of amorphous and polycrystalline targets Phys. Rev. 184 383
-
(1969)
Phys. Rev.
, vol.184
, Issue.2
, pp. 383
-
-
Sigmund, P.1
-
384
-
-
0015064228
-
Plasma diagnostics of an RF sputtering glow discharge
-
Coburn J W and Kay E 1971 Plasma diagnostics of an RF sputtering glow discharge Appl. Phys. Lett. 18 435
-
(1971)
Appl. Phys. Lett.
, vol.18
, Issue.10
, pp. 435
-
-
Coburn, J.W.1
Kay, E.2
-
386
-
-
84975344617
-
Angular etching correlations from RIE
-
Hamblen D P and Cha-Lin A 1988 Angular etching correlations from RIE J. Electrochem. Soc. 135 1816
-
(1988)
J. Electrochem. Soc.
, vol.135
, Issue.7
, pp. 1816
-
-
Hamblen, D.P.1
Cha-Lin, A.2
-
387
-
-
0001442869
-
Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy
-
Steinbruchel C 1989 Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy Appl. Phys. Lett. 55 1960
-
(1989)
Appl. Phys. Lett.
, vol.55
, Issue.19
, pp. 1960
-
-
Steinbruchel, C.1
-
388
-
-
0024935540
-
Ion beam assisted etching of silicon with bromine: The role of the adsorbed state
-
Tyrrell G C, Boyd I W and Jackman R B 1989 Ion beam assisted etching of silicon with bromine: the role of the adsorbed state Appl. Surf. Sci. 43 439
-
(1989)
Appl. Surf. Sci.
, vol.43
, Issue.1-4
, pp. 439
-
-
Tyrrell, G.C.1
Boyd, I.W.2
Jackman, R.B.3
-
390
-
-
0000138146
-
Phenomenological modelling of ion-enhanced surface kinetics in fluorine-based plasma etching
-
Gray D C, Tepermeister I and Sawin H H 1993 Phenomenological modelling of ion-enhanced surface kinetics in fluorine-based plasma etching J. Vac. Sci. Technol. B 11 1243
-
(1993)
J. Vac. Sci. Technol.
, vol.11
, Issue.4
, pp. 1243
-
-
Gray, D.C.1
Tepermeister, I.2
Sawin, H.H.3
-
391
-
-
0029732663
-
Thermally assisted ion beam etching of polytetrafluoroethylene: A new technique for high aspect ratio etching of MEMS
-
Berenschot E, Jansen H, Burger G-J, Gardeniers H and Elwenspoek M 1996 Thermally assisted ion beam etching of polytetrafluoroethylene: a new technique for high aspect ratio etching of MEMS Proc. IEEE MEMS p 277
-
(1996)
Proc. IEEE MEMS
, pp. 277
-
-
Berenschot, E.1
Jansen, H.2
Burger, G.-J.3
Gardeniers, H.4
Elwenspoek, M.5
-
393
-
-
61949277013
-
-
Suppliers
-
Suppliers
-
-
-
-
394
-
-
61949144185
-
-
(AMS 100/110/200)
-
http://www.alcatelmicromachining.com/ (AMS 100/110/200)
-
-
-
-
395
-
-
61949250705
-
-
Plasmalab 80/100/133
-
http://www.oxfordplasma.de/ (Plasmalab 80/100/133)
-
-
-
-
396
-
-
61949183046
-
-
(ASE standard rate/HRM/Pegasus)
-
http://www.stsystems.com/ (ASE standard rate/HRM/Pegasus)
-
-
-
-
397
-
-
61949437913
-
-
TCP 9400DSiE
-
http://www.lamrc.com/ (TCP 9400DSiE)
-
-
-
-
398
-
-
61949109961
-
-
http://www.aviza.com/ (DSi, Bosch licence but no further information)
-
-
-
-
399
-
-
61949265151
-
-
http://www.appliedmaterials.com/ (Centura Mariana, not for DRIE)
-
-
-
-
400
-
-
61949166503
-
-
http://www.sentech.com/ (SI 500 c, with cryochuck)
-
-
-
-
401
-
-
61949200308
-
-
http://www.advanced-vacuum.se/ (vision 300, no further info)
-
-
-
-
402
-
-
61949170451
-
-
www.panasonic.com (Panasonic E640 ICP Etcher?)
-
-
-
-
403
-
-
61949126936
-
-
Tokio electronic www.tel.com/eng/
-
-
-
|