-
1
-
-
75649126879
-
Low dielectric constant materials
-
Volksen, W., Miller, R.D. and Dubois, G. (2010) Low dielectric constant materials. Chem. Rev., 110, 56-110.
-
(2010)
Chem. Rev.
, vol.110
, pp. 56-110
-
-
Volksen, W.1
Miller, R.D.2
Dubois, G.3
-
2
-
-
0036368558
-
Thermally stable polyarylenes with low dielectric constant: direction towards the lowest limit of dielectrics
-
Goto, K., Akiike, T., Konno, K., Shiba, T., Patz, M., Takahashi, M., Inoue, Y. and Matsubara, M. (2002) Thermally stable polyarylenes with low dielectric constant: direction towards the lowest limit of dielectrics. J. Photopolym. Sci. Technol., 15, 223-229.
-
(2002)
J. Photopolym. Sci. Technol.
, vol.15
, pp. 223-229
-
-
Goto, K.1
Akiike, T.2
Konno, K.3
Shiba, T.4
Patz, M.5
Takahashi, M.6
Inoue, Y.7
Matsubara, M.8
-
3
-
-
0035247798
-
Low dielectric constant polymers for microelectronics
-
Maier, G. (2001) Low dielectric constant polymers for microelectronics. Prog. Polym. Sci., 26, 3-65.
-
(2001)
Prog. Polym. Sci.
, vol.26
, pp. 3-65
-
-
Maier, G.1
-
4
-
-
0035751056
-
Polyimides in microelectronics applications
-
Miwa, T. (2001) Polyimides in microelectronics applications. J. Photopolym. Sci. Technol., 14, 29-32.
-
(2001)
J. Photopolym. Sci. Technol.
, vol.14
, pp. 29-32
-
-
Miwa, T.1
-
5
-
-
0347662772
-
Low dielectric constant materials for interlayer dielectric
-
Treichel, H., Ruhl, G., Ansmann, P., Wurl, R., Muller, C. and Dietlmeier, M. (1998) Low dielectric constant materials for interlayer dielectric. Microelectron. Engng, 40, 1-19.
-
(1998)
Microelectron. Engng
, vol.40
, pp. 1-19
-
-
Treichel, H.1
Ruhl, G.2
Ansmann, P.3
Wurl, R.4
Muller, C.5
Dietlmeier, M.6
-
6
-
-
0001827706
-
Low dielectric constant materials for interlayer dielectrics, in Handbook of Low and High Dielectric Constant Materials and Their Applications (ed
-
Academic Press, San Diego, California
-
Treichel, H., Withers, B., Ruhl, G., Ansmann, P., Wurl, R., Muller, C., Dietlmeier, M. and Maier, G. (1999) Low dielectric constant materials for interlayer dielectrics, in Handbook of Low and High Dielectric Constant Materials and Their Applications (ed. Nalwa, H.S.), vol. 1, Academic Press, San Diego, California, pp. 1-71.
-
(1999)
Nalwa, H.S.), vol.
, vol.1
, pp. 1-71
-
-
Treichel, H.1
Withers, B.2
Ruhl, G.3
Ansmann, P.4
Wurl, R.5
Muller, C.6
Dietlmeier, M.7
Maier, G.8
-
7
-
-
84950317098
-
Spin-on dielectric materials
-
(eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
Dubois, G., Volksen, W. and Miller, R.D. (2007) Spin-on dielectric materials, in Dielectric Films for Advanced Microelectronics (eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
(2007)
Dielectric Films for Advanced Microelectronics
-
-
Dubois, G.1
Volksen, W.2
Miller, R.D.3
-
9
-
-
0032162553
-
Low dielectric constant materials and methods for interlayer dielectric films in ultralarge-scale integrated circuit multilevel interconnections
-
Homma, T. (1998) Low dielectric constant materials and methods for interlayer dielectric films in ultralarge-scale integrated circuit multilevel interconnections. Mater. Sci. Engng, R, R23, 243-285.
-
(1998)
Mater. Sci. Engng, R,
, vol.R23
, pp. 243-285
-
-
Homma, T.1
-
10
-
-
0002464137
-
Kirk-Othmer Encyclopedia of Chemical Technology
-
3rd edn, John Wiley&Sons, Inc., New York
-
Kirk-Othmer (1992) Kirk-Othmer Encyclopedia of Chemical Technology, 3rd edn, vol. 20, John Wiley&Sons, Inc., New York, pp. 748-825.
-
(1992)
, vol.20
, pp. 748-825
-
-
Kirk-Othmer1
-
11
-
-
84887226882
-
Low and ultralow dielectric constant films prepared by plasma-enhanced chemical vapor deposition
-
(eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
Grill, A. (2007) Low and ultralow dielectric constant films prepared by plasma-enhanced chemical vapor deposition, in Dielectric Films for Advanced Microelectronics (eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
(2007)
Dielectric Films for Advanced Microelectronics
-
-
Grill, A.1
-
12
-
-
67650935869
-
Porous pSICOH ultralow-k dielectrics for chip interconnects prepared by PECVD
-
Grill, A. (2009) Porous pSICOH ultralow-k dielectrics for chip interconnects prepared by PECVD. Annu. Rev. Mater. Sci., 39, 49-69.
-
(2009)
Annu. Rev. Mater. Sci.
, vol.39
, pp. 49-69
-
-
Grill, A.1
-
13
-
-
32944459861
-
Materials chemistry for low-k materials
-
Hatton, B.D., Landskron, K., Hunks, W.J., Bennett, M.R., Shukaris, D., Perovic, D.D. and Ozin, G.A. (2006) Materials chemistry for low-k materials. Mater. Today, 9, 22-31.
-
(2006)
Mater. Today
, vol.9
, pp. 22-31
-
-
Hatton, B.D.1
Landskron, K.2
Hunks, W.J.3
Bennett, M.R.4
Shukaris, D.5
Perovic, D.D.6
Ozin, G.A.7
-
14
-
-
84950316430
-
Integration of low-k dielectric films in damascene processes
-
(eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York
-
Hoofman, R.J.O.M., Nguyen, V.H., Arnal, V., Broekaart, M., Gosset, L.G., Besling, W.F.A., Fayolle, M. and Iacopi, F. (2007) Integration of low-k dielectric films in damascene processes, in Dielectric Films for Advanced Microelectronics (eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
(2007)
Dielectric Films for Advanced Microelectronics
-
-
Hoofman, R.J.O.M.1
Nguyen, V.H.2
Arnal, V.3
Broekaart, M.4
Gosset, L.G.5
Besling, W.F.A.6
Fayolle, M.7
Iacopi, F.8
-
15
-
-
0033677323
-
Low dielectric constant materials for ULSI interconnects
-
Morgen, M., Ryan, E.T., Zhao, J.-H., Hu, C., Cho, T. and Ho, P.S. (2000) Low dielectric constant materials for ULSI interconnects. Annu. Rev. Mater. Sci., 30, 645-680.
-
(2000)
Annu. Rev. Mater. Sci.
, vol.30
, pp. 645-680
-
-
Morgen, M.1
Ryan, E.T.2
Zhao, J.-H.3
Hu, C.4
Cho, T.5
Ho, P.S.6
-
16
-
-
84885776291
-
Porosity of low dielectric materials. Ellipsometric porosimetry
-
(eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York
-
Baklanov, M.R. (2007) Porosity of low dielectric materials. Ellipsometric porosimetry, in Dielectric Films for Advanced Microelectronics (eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
(2007)
Dielectric Films for Advanced Microelectronics
-
-
Baklanov, M.R.1
-
17
-
-
84885776291
-
Porosity of low dielectric materials. Positron annihilation spectroscopy
-
(eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York
-
Gidley, D.W., Peng, H.-g. and Vallery, R. (2007) Porosity of low dielectric materials. Positron annihilation spectroscopy, in Dielectric Films for Advanced Microelectronics (eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
(2007)
Dielectric Films for Advanced Microelectronics
-
-
Gidley, D.W.1
Peng, H.-g.2
Vallery, R.3
-
18
-
-
0037666297
-
Low dielectric constant materials for microelectronics
-
Maex, K., Baklanov, M.R., Shamiryan, D., Iacopi, F., Brongersma, S.H. and Yanovitskaya, Z.S. (2003) Low dielectric constant materials for microelectronics. J. Appl. Phys., 93, 8793-8841.
-
(2003)
J. Appl. Phys.
, vol.93
, pp. 8793-8841
-
-
Maex, K.1
Baklanov, M.R.2
Shamiryan, D.3
Iacopi, F.4
Brongersma, S.H.5
Yanovitskaya, Z.S.6
-
19
-
-
84885701116
-
Porosity of low dielectric materials. Structure characterization of nanoporous interlevel dielectric thin films with X-ray and neutron radiation
-
(eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York
-
Soles, C.L., Lee, H.-J., Vogt, B.D., Lin, E.K. and Wu, W.-L. (2007) Porosity of low dielectric materials. Structure characterization of nanoporous interlevel dielectric thin films with X-ray and neutron radiation, in Dielectric Films for Advanced Microelectronics (eds Baklanov, M., Maex, K. and Green, M.), John Wiley&Sons, Inc., New York.
-
(2007)
Dielectric Films for Advanced Microelectronics
-
-
Soles, C.L.1
Lee, H.-J.2
Vogt, B.D.3
Lin, E.K.4
Wu, W.-L.5
-
20
-
-
84885186321
-
Silicon Processing for the VLSI Era, Deep-Submicron Process Technology
-
Lattice Press, Sunset Beach, California.
-
Wolf, S. (2002) Silicon Processing for the VLSI Era, vol. 4, Deep-Submicron Process Technology, Lattice Press, Sunset Beach, California.
-
(2002)
, vol.4
-
-
Wolf, S.1
-
21
-
-
4944235009
-
Physical and barrier properties of amorphous silicon-oxycarbide deposited by PECVD from octamethylcyclotetrasiloxane
-
Chiang, C.-C. Chen, M.-C., Li, L.-J., Wu, Z.-C., Jang, S.-M. and Liang, M.-S. (2004) Physical and barrier properties of amorphous silicon-oxycarbide deposited by PECVD from octamethylcyclotetrasiloxane. J. Electrochem. Soc., 151, G612-G617.
-
(2004)
J. Electrochem. Soc.
, vol.151
-
-
Chiang, C.-C.1
Chen, M.-C.2
Li, L.-J.3
Wu, Z.-C.4
Jang, S.-M.5
Liang, M.-S.6
-
22
-
-
84944056599
-
Leakage and breakdown mechanisms in Cu damascene with a bilayer-structured a-SiCN/a-SiC dielectric barrier
-
Burlingame, California
-
Chiang, C.-C., Ko, I.H. and Chen, M.-C. (2003) Leakage and breakdown mechanisms in Cu damascene with a bilayer-structured a-SiCN/a-SiC dielectric barrier. Proceedings of the 6th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 201-203.
-
(2003)
Proceedings of the 6th IEEE International Interconnect Technology Conference
, pp. 201-203
-
-
Chiang, C.-C.1
Ko, I.H.2
Chen, M.-C.3
-
23
-
-
4944265056
-
Improvement in leakage current and breakdown field of Cu-comb capacitor using a silicon oxycarbide dielectric barrier
-
Chiang, C.-C., Ko, I.H., Chen, M.-C., Wu, Z.-C., Lu, Y.-C., Jang, S.-M. and Liang, M.-S. (2004) Improvement in leakage current and breakdown field of Cu-comb capacitor using a silicon oxycarbide dielectric barrier. J. Electrochem. Soc., 151, G606-G611.
-
(2004)
J. Electrochem. Soc.
, vol.151
-
-
Chiang, C.-C.1
Ko, I.H.2
Chen, M.-C.3
Wu, Z.-C.4
Lu, Y.-C.5
Jang, S.-M.6
Liang, M.-S.7
-
24
-
-
84961738552
-
Integration of SiCN as a low k etch stop and Cu passivation in a high performance Cu/low k interconnect
-
Burlingame, California
-
Martin, J., Filipiak, S., Stephens, T., Huang, F., Aminpur, M., Mueller, J., Demircan, E., Zhao, L., Werking, J., Goldberg, C., Park, S., Sparks, T. and Esber, C. (2002) Integration of SiCN as a low k etch stop and Cu passivation in a high performance Cu/low k interconnect. Proceedings of the 5th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 42-44.
-
(2002)
Proceedings of the 5th IEEE International Interconnect Technology Conference
, pp. 42-44
-
-
Martin, J.1
Filipiak, S.2
Stephens, T.3
Huang, F.4
Aminpur, M.5
Mueller, J.6
Demircan, E.7
Zhao, L.8
Werking, J.9
Goldberg, C.10
Park, S.11
Sparks, T.12
Esber, C.13
-
25
-
-
0842286058
-
Effects of silicon carbide composition on dielectric barrier voltage ramp and TDDB reliability performance
-
Burlingame, California
-
Tsui, T.Y., Willecke, R. and McKerrow, A.J. (2003) Effects of silicon carbide composition on dielectric barrier voltage ramp and TDDB reliability performance. Proceedings of the 6th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 45-47.
-
(2003)
Proceedings of the 6th IEEE International Interconnect Technology Conference
, pp. 45-47
-
-
Tsui, T.Y.1
Willecke, R.2
McKerrow, A.J.3
-
26
-
-
33744501237
-
Fracture of nanoporous methyl silsesquioxane thin-film glasses
-
Guyer, E.P., Patz, M. and Dauskardt, R.H. (2006) Fracture of nanoporous methyl silsesquioxane thin-film glasses. J. Mater. Res., 21, 882-894.
-
(2006)
J. Mater. Res.
, vol.21
, pp. 882-894
-
-
Guyer, E.P.1
Patz, M.2
Dauskardt, R.H.3
-
27
-
-
33749624606
-
Fracture properties of porous MSSQ films: impact of porogen loading and burnout
-
San Francisco, California
-
Ong, M.D., Jousseaume, V., Maitrejean, S. and Dauskardt, R.H. (2006) Fracture properties of porous MSSQ films: impact of porogen loading and burnout. Proceedings of the Materials Research Society Symposium, San Francisco, California, pp. 15-20.
-
(2006)
Proceedings of the Materials Research Society Symposium
, pp. 15-20
-
-
Ong, M.D.1
Jousseaume, V.2
Maitrejean, S.3
Dauskardt, R.H.4
-
28
-
-
40849118377
-
Interface engineering for high interfacial strength between SiCOH and porous SiCOH interconnect dielectrics and diffusion caps
-
Grill, A., Edelstein, D., Lane, M., Patel, V., Gates, S., Restaino, D. and Molis, S. (2008) Interface engineering for high interfacial strength between SiCOH and porous SiCOH interconnect dielectrics and diffusion caps. J. Appl. Phys., 103, 054104/054101-054104/054106.
-
(2008)
J. Appl. Phys.
, vol.103
-
-
Grill, A.1
Edelstein, D.2
Lane, M.3
Patel, V.4
Gates, S.5
Restaino, D.6
Molis, S.7
-
29
-
-
33646224088
-
Porous dielectric dual damascene patterning issues for 65-nm node: Can architecture bring a solution
-
Burlingame, California
-
Assous, M., Simon, J., Broussous, L., Bourlot, C., Fayolle, M., Louveau, O., Roman, A., Tabouret, E., Feldis, H., Louis, D. and Torres, J. (2003) Porous dielectric dual damascene patterning issues for 65-nm node: Can architecture bring a solution? Proceedings of the 6th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 97-99.
-
(2003)
Proceedings of the 6th IEEE International Interconnect Technology Conference
, pp. 97-99
-
-
Assous, M.1
Simon, J.2
Broussous, L.3
Bourlot, C.4
Fayolle, M.5
Louveau, O.6
Roman, A.7
Tabouret, E.8
Feldis, H.9
Louis, D.10
Torres, J.11
-
30
-
-
0002576616
-
Dual hard mask process for low-k porous organosilica dielectric in copper dual damscene interconnect fabrication
-
Burlingame, California
-
Hiroi, M., Ohtake, H., Saito, S., Onodera, T., Furutake, N., Harada, Y. and Hayashi, Y. (2001) Dual hard mask process for low-k porous organosilica dielectric in copper dual damscene interconnect fabrication. Proceedings of the 4th IEEE International Interconnect Technolnology Conference, Burlingame, California, p. 295.
-
(2001)
Proceedings of the 4th IEEE International Interconnect Technolnology Conference
, pp. 295
-
-
Hiroi, M.1
Ohtake, H.2
Saito, S.3
Onodera, T.4
Furutake, N.5
Harada, Y.6
Hayashi, Y.7
-
31
-
-
17344388439
-
Process design methodology for via-shape-controlled, copper dual-damascene interconnects in low-k organic film
-
Kinoshita, K., Tada, M., Usami, T., Hiroi, M., Tonegawa, T., Shiba, K., Onodera, T., Tagami, M., Saitoh, S. and Hayashi, Y. (2000) Process design methodology for via-shape-controlled, copper dual-damascene interconnects in low-k organic film. Technical Digest-International Electron Devices Meeting, pp. 257-260.
-
(2000)
Technical Digest-International Electron Devices Meeting
, pp. 257-260
-
-
Kinoshita, K.1
Tada, M.2
Usami, T.3
Hiroi, M.4
Tonegawa, T.5
Shiba, K.6
Onodera, T.7
Tagami, M.8
Saitoh, S.9
Hayashi, Y.10
-
32
-
-
0036776632
-
Integration of Cu/SiOC in Cu dual damascene interconnect for 0.1-mm technology
-
Fayolle, M., Torres, J., Passemard, G., Fusalba, F., Fanget, G., Louis, D., Assous, M., Louveau, O., Rivoire, M., Haxaire, K., Mourier, M., Maitrejean, S., Besson, P., Broussous, L., Arnaud, L. and Feldis, H. (2002) Integration of Cu/SiOC in Cu dual damascene interconnect for 0.1-mm technology. Microelectron. Engng, 64, 35-42.
-
(2002)
Microelectron. Engng
, vol.64
, pp. 35-42
-
-
Fayolle, M.1
Torres, J.2
Passemard, G.3
Fusalba, F.4
Fanget, G.5
Louis, D.6
Assous, M.7
Louveau, O.8
Rivoire, M.9
Haxaire, K.10
Mourier, M.11
Maitrejean, S.12
Besson, P.13
Broussous, L.14
Arnaud, L.15
Feldis, H.16
-
33
-
-
20344401784
-
Process improvement of 0.13 mm Cu/low k (Black Diamond) dual damascene interconnection
-
Li, H.Y., Su, Y.J., Tsang, C.F., Sohan, S.M., Bliznetsov, V. and Zhang, L. (2004) Process improvement of 0.13 mm Cu/low k (Black Diamond) dual damascene interconnection. Microelectron. Reliab., 45, 1134-1143.
-
(2004)
Microelectron. Reliab.
, vol.45
, pp. 1134-1143
-
-
Li, H.Y.1
Su, Y.J.2
Tsang, C.F.3
Sohan, S.M.4
Bliznetsov, V.5
Zhang, L.6
-
34
-
-
0000058188
-
Low-k dielectrics characterization for damscene integration
-
Burlingame, California
-
Lin, S., Jin, C., Lui, L., Tsai, M., Daniels, M., Gonzalez, A., Wetzel, J.T., Monnig, K.A., Winebarger, P.A., Jang, S.M., Yu, D. and Liang, M.S. (2001) Low-k dielectrics characterization for damscene integration. Proceedings of the 4th IEEE International Interconnect Technology Conference, Burlingame, California, p. 146.
-
(2001)
Proceedings of the 4th IEEE International Interconnect Technology Conference
, pp. 146
-
-
Lin, S.1
Jin, C.2
Lui, L.3
Tsai, M.4
Daniels, M.5
Gonzalez, A.6
Wetzel, J.T.7
Monnig, K.A.8
Winebarger, P.A.9
Jang, S.M.10
Yu, D.11
Liang, M.S.12
-
35
-
-
0036776631
-
Integration challenges of porous ultra low-k spin-on dielectrics
-
Mosig, K., Jacobs, T., Brennan, K., Rasco, M., Wolf, J. and Augur, R. (2002) Integration challenges of porous ultra low-k spin-on dielectrics. Microelectron. Engng, 64, 11-24.
-
(2002)
Microelectron. Engng
, vol.64
, pp. 11-24
-
-
Mosig, K.1
Jacobs, T.2
Brennan, K.3
Rasco, M.4
Wolf, J.5
Augur, R.6
-
36
-
-
84885724642
-
Methods/principles of deposition and etching of thin-films
-
2nd edn (eds Schwartz, G.C. and Srikrishnan, K.V.), CRC Press, Boca Raton, Florida.
-
Schwartz, G.C. (2006) Methods/principles of deposition and etching of thin-films, in Handbook of Semiconductor Interconnection Technology, 2nd edn (eds Schwartz, G.C. and Srikrishnan, K.V.), CRC Press, Boca Raton, Florida.
-
(2006)
Handbook of Semiconductor Interconnection Technology
-
-
Schwartz, G.C.1
-
37
-
-
8644246782
-
Challenges of clean/strip processing for Cu/low-k technology
-
Burlingame, California
-
Baklanov, M.R., Le, Q.T., Kesters, E., Iacopi, F., van Aelst, J., Struyf, H., Boullart, W., Vanhaelemeersch, S. and Maex, K. (2004) Challenges of clean/strip processing for Cu/low-k technology. Proceedings of the 7th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 187-189.
-
(2004)
Proceedings of the 7th IEEE International Interconnect Technology Conference
, pp. 187-189
-
-
Baklanov, M.R.1
Le, Q.T.2
Kesters, E.3
Iacopi, F.4
van Aelst, J.5
Struyf, H.6
Boullart, W.7
Vanhaelemeersch, S.8
Maex, K.9
-
38
-
-
0142011574
-
Material modification of the patterned wafer during dry etching and strip determined by XPS
-
Furukawa, Y., Patz, M., Kokubo, T. and Snijders, J.H.M. (2003) Material modification of the patterned wafer during dry etching and strip determined by XPS. Microelectron. Engng, 70, 267-273.
-
(2003)
Microelectron. Engng
, vol.70
, pp. 267-273
-
-
Furukawa, Y.1
Patz, M.2
Kokubo, T.3
Snijders, J.H.M.4
-
39
-
-
4544328008
-
Etch and strip induced material modification of porous low-k (k = 2.2) dielectric
-
Furukawa, Y., Wolters, R., Roosen, H., Snijders, J.H.M. and Hoofman, R. (2004) Etch and strip induced material modification of porous low-k (k = 2.2) dielectric. Microelectron. Engng, 76, 25-31.
-
(2004)
Microelectron. Engng
, vol.76
, pp. 25-31
-
-
Furukawa, Y.1
Wolters, R.2
Roosen, H.3
Snijders, J.H.M.4
Hoofman, R.5
-
40
-
-
3843112189
-
Via fill properties of organic BARCs in dual-damascene application
-
Santa Clara, California
-
Huang, R. (2004) Via fill properties of organic BARCs in dual-damascene application. Proceedings of SPIE-International Society of Optical Engineering, Santa Clara, California, pp. 711-717.
-
(2004)
Proceedings of SPIE-International Society of Optical Engineering
, pp. 711-717
-
-
Huang, R.1
-
41
-
-
0012317254
-
A novel approach to dual damascene patterning
-
Burlingame, California
-
Hussein, M., Sivakumar, S., Brain, R., Beattie, B., Nguyen, P. and Fradkin, M. (2002) A novel approach to dual damascene patterning. Proceedings of the 5th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 18-20.
-
(2002)
Proceedings of the 5th IEEE International Interconnect Technology Conference
, pp. 18-20
-
-
Hussein, M.1
Sivakumar, S.2
Brain, R.3
Beattie, B.4
Nguyen, P.5
Fradkin, M.6
-
43
-
-
28844496760
-
Nanoporous materials integration into advanced microprocessors
-
San Francisco, California
-
Ryan, E.T., Labelle, C., Nitta, S., Fuller, N.C.M., Bonilla, G., McCullough, K., Taft, C., Lin, H., Simon, A., Simonyi, E., Malone, K., Sankarapandian, M., Dunn, D., Zaitz, M.A., Cohen, S., Klymko, N., Moon, B.K., Li, Z., Li, S., Yan, Y., Liu, J. and Ho, P.S. (2005) Nanoporous materials integration into advanced microprocessors. Proceedings of the Material Research Society Symposium, San Francisco, California, pp. 147-158.
-
(2005)
Proceedings of the Material Research Society Symposium
, pp. 147-158
-
-
Ryan, E.T.1
Labelle, C.2
Nitta, S.3
Fuller, N.C.M.4
Bonilla, G.5
McCullough, K.6
Taft, C.7
Lin, H.8
Simon, A.9
Simonyi, E.10
Malone, K.11
Sankarapandian, M.12
Dunn, D.13
Zaitz, M.A.14
Cohen, S.15
Klymko, N.16
Moon, B.K.17
Li, Z.18
Li, S.19
Yan, Y.20
Liu, J.21
Ho, P.S.22
more..
-
44
-
-
70350610617
-
Off-angular deposition compensation for PVD selective re-sputtering process
-
San Francisco, California, Paper 1079-N1003-1005
-
Yang, H.-L., Zhang, F., Nelson, K., Tseng, J.M., Forster, J., Sunddarrajan, A., Bhatnagar, A., Kumar, N. and Gopalraja, P. (2008) Off-angular deposition compensation for PVD selective re-sputtering process. Proceedings of the Material Research Society Symposium, San Francisco, California, Paper 1079-N1003-1005.
-
(2008)
Proceedings of the Material Research Society Symposium
-
-
Yang, H.-L.1
Zhang, F.2
Nelson, K.3
Tseng, J.M.4
Forster, J.5
Sunddarrajan, A.6
Bhatnagar, A.7
Kumar, N.8
Gopalraja, P.9
-
45
-
-
0035450040
-
Cleaning status on low-k dielectric in advanced VLSI interconnect: characterisation and principal issues
-
Louis, D., Beverina, A., Arvet, C., Lajoinie, E., Peyne, C., Holmes, D. and Maloney, D. (2001) Cleaning status on low-k dielectric in advanced VLSI interconnect: characterisation and principal issues. Microelectron. Engng, 57-58, 621-627.
-
(2001)
Microelectron. Engng
, vol.57-58
, pp. 621-627
-
-
Louis, D.1
Beverina, A.2
Arvet, C.3
Lajoinie, E.4
Peyne, C.5
Holmes, D.6
Maloney, D.7
-
46
-
-
84962820635
-
Cleaning process strategies compatible with low-k dielectric and copper state of the art, evolution and perspectives
-
Burlingame, California
-
Louis, D., Beverina, A., Arvet, C., Lajoinie, E., Peyne, C., Holmes, D., Maloney, D., Lee, S. and Lee, W.M. (2000) Cleaning process strategies compatible with low-k dielectric and copper state of the art, evolution and perspectives. Proceedings of the 3rd IEEE International Interconnect Technology Conference, Burlingame, California, p. 250.
-
(2000)
Proceedings of the 3rd IEEE International Interconnect Technology Conference
, pp. 250
-
-
Louis, D.1
Beverina, A.2
Arvet, C.3
Lajoinie, E.4
Peyne, C.5
Holmes, D.6
Maloney, D.7
Lee, S.8
Lee, W.M.9
-
47
-
-
0033132677
-
Improved post etch cleaning for low-k and copper integration for 0.18 mm technology
-
Louis, D., Peyne, C., Lajoinie, E., Vallesi, B., Holmes, D., Maloney, D. and Lee, S. (1999) Improved post etch cleaning for low-k and copper integration for 0.18 mm technology. Microelectron. Engng, 46, 307-310.
-
(1999)
Microelectron. Engng
, vol.46
, pp. 307-310
-
-
Louis, D.1
Peyne, C.2
Lajoinie, E.3
Vallesi, B.4
Holmes, D.5
Maloney, D.6
Lee, S.7
-
48
-
-
0036677392
-
Factors affecting an efficient sealing of porous low-k dielectrics by physical vapor deposition Ta(N) thin films
-
Iacopi, F., Tokei, Z., Le, Q.T., Shamiryan, D., Conard, T., Brijs, B., Kreissig, U., Van Hove, M. and Maex, K. (2002) Factors affecting an efficient sealing of porous low-k dielectrics by physical vapor deposition Ta(N) thin films. J. Appl. Phys., 92, 1548-1554.
-
(2002)
J. Appl. Phys.
, vol.92
, pp. 1548-1554
-
-
Iacopi, F.1
Tokei, Z.2
Le, Q.T.3
Shamiryan, D.4
Conard, T.5
Brijs, B.6
Kreissig, U.7
Van Hove, M.8
Maex, K.9
-
49
-
-
0036776718
-
Dependence of the minimal PVD Ta(N) sealing thickness on the porosity of ZirkonTM LK dielectric films
-
Iacopi, F., Zistl, C., Jehoul, C., Tokei, Z., Le, Q.T., Das, A., Sullivan, C., Prokopowicz, G., Gronbeck, D., Gallagher, M., Calvert, J. and Maex, K. (2002) Dependence of the minimal PVD Ta(N) sealing thickness on the porosity of ZirkonTM LK dielectric films. Microelectron. Engng, 64, 351-360.
-
(2002)
Microelectron. Engng
, vol.64
, pp. 351-360
-
-
Iacopi, F.1
Zistl, C.2
Jehoul, C.3
Tokei, Z.4
Le, Q.T.5
Das, A.6
Sullivan, C.7
Prokopowicz, G.8
Gronbeck, D.9
Gallagher, M.10
Calvert, J.11
Maex, K.12
-
50
-
-
84944041075
-
Low-pressure CMP for reliable porous low-k/Cu integration
-
Burlingame, California
-
Kondo, S., Tokitoh, S., Yoon, B.U., Namiki, A., Sone, A., Ohashi, N., Misawa, K., Sone, S., Shin, H.J., Yoshie, T., Yoneda, K., Shimada, M., Ogawa, S., Matsumoto, I. and Kobayashi, N. (2003) Low-pressure CMP for reliable porous low-k/Cu integration. Proceedings of the 6th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 86-88.
-
(2003)
Proceedings of the 6th IEEE International Interconnect Technology Conference
, pp. 86-88
-
-
Kondo, S.1
Tokitoh, S.2
Yoon, B.U.3
Namiki, A.4
Sone, A.5
Ohashi, N.6
Misawa, K.7
Sone, S.8
Shin, H.J.9
Yoshie, T.10
Yoneda, K.11
Shimada, M.12
Ogawa, S.13
Matsumoto, I.14
Kobayashi, N.15
-
51
-
-
33751255102
-
Dependence of CMP-induced delamination on number of low-k dielectric films stacked
-
Leduc, P., Farjot, T., Savoye, M., Demas, A.-C., Maitrejean, S. and Passemard, G. (2006) Dependence of CMP-induced delamination on number of low-k dielectric films stacked. Microelectron. Engng, 83, 2072-2076.
-
(2006)
Microelectron. Engng
, vol.83
, pp. 2072-2076
-
-
Leduc, P.1
Farjot, T.2
Savoye, M.3
Demas, A.-C.4
Maitrejean, S.5
Passemard, G.6
-
52
-
-
33749585278
-
CMPinduced peeling in multi-level ultra low-k/Cu interconnects
-
San Francisco, California
-
Leduc, P., Farjot, T., Savoye, M., Demas, A.-C., Maitrejean, S. and Passemard, G. (2006) CMPinduced peeling in multi-level ultra low-k/Cu interconnects. Proceedings of the Material Research Society Symposium, San Francisco, California, pp. 225-230.
-
(2006)
Proceedings of the Material Research Society Symposium
, pp. 225-230
-
-
Leduc, P.1
Farjot, T.2
Savoye, M.3
Demas, A.-C.4
Maitrejean, S.5
Passemard, G.6
-
53
-
-
33644926042
-
Damage mechanisms in porous low-k integration
-
Satyanarayana, S., McGowan, R., White, B. and Hosali, S. (2005) Damage mechanisms in porous low-k integration. Semicond. Int., 28, 63-72.
-
(2005)
Semicond. Int.
, vol.28
, pp. 63-72
-
-
Satyanarayana, S.1
McGowan, R.2
White, B.3
Hosali, S.4
-
54
-
-
84883338930
-
IBM's Packaging Technology Roadmap and the 'collaboratory' approach to advanced packaging development
-
Kyoto, Japan
-
Brofman, P. (2009) IBM's Packaging Technology Roadmap and the 'collaboratory' approach to advanced packaging development. Proceedings of the International Conference on Electronics Packaging, ICEP 2009, Kyoto, Japan, pp. 1-6.
-
(2009)
Proceedings of the International Conference on Electronics Packaging, ICEP
, vol.2009
, pp. 1-6
-
-
Brofman, P.1
-
55
-
-
79957582138
-
Chip-package interaction from a low-k materials perspective
-
Hokkaido, Japan
-
Dubois, G. (2010) Chip-package interaction from a low-k materials perspective. Proceedings of the International Conference on Electronics Packaging, ICEP 2010, Hokkaido, Japan, pp. 448-453.
-
(2010)
Proceedings of the International Conference on Electronics Packaging, ICEP
, vol.2010
, pp. 448-453
-
-
Dubois, G.1
-
56
-
-
72449176317
-
Fracture phenomena induced by frontend/ back-end interactions: dedicated failure analysis and numerical developments
-
Gallois-Garreignot, S., Fiori, V. and Nelias, D. (2010) Fracture phenomena induced by frontend/ back-end interactions: dedicated failure analysis and numerical developments. Microelectron. Reliab., 50, 75-85.
-
(2010)
Microelectron. Reliab.
, vol.50
, pp. 75-85
-
-
Gallois-Garreignot, S.1
Fiori, V.2
Nelias, D.3
-
57
-
-
35348873558
-
Facing the challenge of designing for Cu/low-k reliability
-
Van Driel, W.D. (2007) Facing the challenge of designing for Cu/low-k reliability. Microelectron. Engng, 47, 1969-1974.
-
(2007)
Microelectron. Engng
, vol.47
, pp. 1969-1974
-
-
Van Driel, W.D.1
-
58
-
-
70449644709
-
Solder bump electromigration and CPI challenges in low-k devices
-
Susko, R.A., Daubenspeck, T.H., Wassick, T.A., Sullivan, T.D., Sauter, W. and Cincotta, J. (2009) Solder bump electromigration and CPI challenges in low-k devices. ECS Trans., 16, 51-60.
-
(2009)
ECS Trans.
, vol.16
, pp. 51-60
-
-
Susko, R.A.1
Daubenspeck, T.H.2
Wassick, T.A.3
Sullivan, T.D.4
Sauter, W.5
Cincotta, J.6
-
59
-
-
20344395335
-
Chip-packaging interaction: a critical concern for Cu/low k packaging
-
Wang, G., Ho, P.S. and Groothuis, S. (2005) Chip-packaging interaction: a critical concern for Cu/low k packaging. Microelectron. Reliab., 45, 1079-1093.
-
(2005)
Microelectron. Reliab.
, vol.45
, pp. 1079-1093
-
-
Wang, G.1
Ho, P.S.2
Groothuis, S.3
-
60
-
-
70450247343
-
-
Proceedings of Stress-Induced Phenomena in Metallization: 10th International Workshop, Austin, Texas, pp. 185-196
-
Uchibori, C.J., Lee, M., Zhang, X., Ho, P.S. and Nakamura, T. (2009) Impact of Cu/low-k interconnect design on chip package interaction in flip chip package. Proceedings of Stress-Induced Phenomena in Metallization: 10th International Workshop, Austin, Texas, pp. 185-196.
-
(2009)
Impact of Cu/low-k interconnect design on chip package interaction in flip chip package
-
-
Uchibori, C.J.1
Lee, M.2
Zhang, X.3
Ho, P.S.4
Nakamura, T.5
-
61
-
-
34748823731
-
Chip- package-interaction modeling of ultra low-k/copper back end of line
-
Burlingame, California
-
Liu, X.H., Shaw, T.M., Lane, T.W., Liniger, E.G., Herbst, B.W. and Questad, D.L. (2007) Chip- package-interaction modeling of ultra low-k/copper back end of line. Proceedings of the 10th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 13-15.
-
(2007)
Proceedings of the 10th IEEE International Interconnect Technology Conference
, pp. 13-15
-
-
Liu, X.H.1
Shaw, T.M.2
Lane, T.W.3
Liniger, E.G.4
Herbst, B.W.5
Questad, D.L.6
-
62
-
-
79957601518
-
Chip-package interactions at advanced silicon nodes
-
Hokkaido, Japan
-
Appelt, B.K., Chen, W.T. and Lai, Y. (2010) Chip-package interactions at advanced silicon nodes. Proceedings of the International Conference on Electronics Packaging, ICEP 2010, Hokkaido, Japan, pp. 38-42.
-
(2010)
Proceedings of the International Conference on Electronics Packaging, ICEP
, vol.2010
, pp. 38-42
-
-
Appelt, B.K.1
Chen, W.T.2
Lai, Y.3
-
63
-
-
51849168180
-
Mechanical enhancement of low-k organosilicates by laser spike annealing
-
Volksen, W., Dubois, G., Kellock, A., Magbitang, T.P., Miller, R.D., Miller, D., Cohen, S., Simonyi, E.E., Ramirez, L., Markle, D., Chen, S., Zhou, S., Wang, X. and Wang, Y. (2008) Mechanical enhancement of low-k organosilicates by laser spike annealing. J. Electrochem. Soc., 155, G224-G230.
-
(2008)
J. Electrochem. Soc.
, vol.155
-
-
Volksen, W.1
Dubois, G.2
Kellock, A.3
Magbitang, T.P.4
Miller, R.D.5
Miller, D.6
Cohen, S.7
Simonyi, E.E.8
Ramirez, L.9
Markle, D.10
Chen, S.11
Zhou, S.12
Wang, X.13
Wang, Y.14
-
64
-
-
77952652975
-
Effects of e-beam curing on glass structure and mechanical properties of nanoporous organosilicate thin films
-
Gage, D.M., Peng, L., Stebbins, J., Yim, K.S., Al-Bayati, A., Demos, A. and Dauskardt, R.H. (2010) Effects of e-beam curing on glass structure and mechanical properties of nanoporous organosilicate thin films. Int. J. Mater. Res., 101, 228-235.
-
(2010)
Int. J. Mater. Res.
, vol.101
, pp. 228-235
-
-
Gage, D.M.1
Peng, L.2
Stebbins, J.3
Yim, K.S.4
Al-Bayati, A.5
Demos, A.6
Dauskardt, R.H.7
-
65
-
-
33644957276
-
Film characterization and integration of UV-cured ultra low-k for 45 nm node Cu/low-k interconnects
-
Colorado Springs, Colorado and Tokyo, Japan
-
Goto, K., Hashii, S., Matsumoto, M., Miura, N., Furusawa, T., Matsuura, M., Ohsaki, A., Ohara, N., Tsuji, N. and Matsushita, K. (2006) Film characterization and integration of UV-cured ultra low-k for 45 nm node Cu/low-k interconnects. Proceedings of the Advances in Metals Conference 2005, Colorado Springs, Colorado and Tokyo, Japan, pp. 277-283.
-
(2006)
Proceedings of the Advances in Metals Conference
, vol.2005
, pp. 277-283
-
-
Goto, K.1
Hashii, S.2
Matsumoto, M.3
Miura, N.4
Furusawa, T.5
Matsuura, M.6
Ohsaki, A.7
Ohara, N.8
Tsuji, N.9
Matsushita, K.10
-
66
-
-
33644934714
-
Improvement of mechanical properties of porous SiOCH films by post-cure treatments
-
Colorado Springs, Colorado and Tokyo, Japan
-
Ito, F., Takeuchi, T. and Hayashi, Y. (2006) Improvement of mechanical properties of porous SiOCH films by post-cure treatments. Proceedings of the Advances in Metals Conference 2005, Colorado Springs, Colorado and Tokyo, Japan, pp. 291-296.
-
(2006)
Proceedings of the Advances in Metals Conference
, vol.2005
, pp. 291-296
-
-
Ito, F.1
Takeuchi, T.2
Hayashi, Y.3
-
67
-
-
34047185280
-
Comparison between E-beam and ultraviolet curing to perform porous a-SiOC:H
-
Jousseaume, V., Zenasni, A., Favennec, L., Gerbaud, G., Bardet, M., Simon, J.P. and Humbert, A. (2007) Comparison between E-beam and ultraviolet curing to perform porous a-SiOC:H. J. Electrochem. Soc., 154, G103-G109.
-
(2007)
J. Electrochem. Soc.
, vol.154
-
-
Jousseaume, V.1
Zenasni, A.2
Favennec, L.3
Gerbaud, G.4
Bardet, M.5
Simon, J.P.6
Humbert, A.7
-
68
-
-
50249107559
-
UV/EB cure mechanism for porous PECVD/SOD low-k SiCOH material
-
Nakao, S.-I., Ushio, J., Ohno, T., Hamada, T., Kamigaki, Y., Kato, M., Yoneda, K., Kondo, S. and Kobayashi, N. (2006) UV/EB cure mechanism for porous PECVD/SOD low-k SiCOH materials. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June 2006, pp. 66-68.
-
(2006)
Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June
, vol.2006
-
-
Nakao, S.-I.1
Ushio, J.2
Ohno, T.3
Hamada, T.4
Kamigaki, Y.5
Kato, M.6
Yoneda, K.7
Kondo, S.8
Kobayashi, N.9
-
69
-
-
28244449804
-
Impacts of UV cure for reliable porous PECVD SiOC integratio
-
Yoneda, K., Kato, K., Kondo, S., Kobayashi, N., Matsuki, N., Matsushita, K., Ohara, N., Fukazawa, A. and Kimura, T. (2005) Impacts of UV cure for reliable porous PECVD SiOC integration. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June 2005, pp. 220-222.
-
(2005)
Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June
, vol.2005
-
-
Yoneda, K.1
Kato, K.2
Kondo, S.3
Kobayashi, N.4
Matsuki, N.5
Matsushita, K.6
Ohara, N.7
Fukazawa, A.8
Kimura, T.9
-
70
-
-
33644937864
-
Evaluation of device damage from e-beam curing of ultra low-k BEOL dielectrics
-
Colorado Springs, Colorado and Tokyo, Japan
-
Mehta, S., Dimitrakopoulos, C., Augur, R., Gambino, J., Chou, A., Hook, T., Linder, B., Tseng, W., Bolam, R., Harmon, D., Massey, D., Gates, S. and Nye, H. (2006) Evaluation of device damage from e-beam curing of ultra low-k BEOL dielectrics. Proceedings of the Advances in Metals Conference 2005, Colorado Springs, Colorado and Tokyo, Japan, pp. 361-367.
-
(2006)
Proceedings of the Advances in Metals Conference
, vol.2005
, pp. 361-367
-
-
Mehta, S.1
Dimitrakopoulos, C.2
Augur, R.3
Gambino, J.4
Chou, A.5
Hook, T.6
Linder, B.7
Tseng, W.8
Bolam, R.9
Harmon, D.10
Massey, D.11
Gates, S.12
Nye, H.13
-
71
-
-
33751212199
-
UV curing effects on mechanical and electrical performances of a PECVD non-porogen porous SiOC:H films (in k [2.2-2.4] range) for 45 nm node and below
-
Chapelon, L.L., Vitiello, J., Gonchond, J.P., Barbier, D. and Torres, J. (2006) UV curing effects on mechanical and electrical performances of a PECVD non-porogen porous SiOC:H films (in k [2.2-2.4] range) for 45 nm node and below. Microelectron. Engng, 83, 2136-2141.
-
(2006)
Microelectron. Engng
, vol.83
, pp. 2136-2141
-
-
Chapelon, L.L.1
Vitiello, J.2
Gonchond, J.P.3
Barbier, D.4
Torres, J.5
-
72
-
-
33645229819
-
Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation, J
-
Iacopi, F., Travaly, Y., Eyckens, B., Waldfried, C., Abell, T., Guyer, E.P., Gage, D.M., Dauskardt, R.H., Sajavaara, T., Houthoofd, K., Grobet, P., Jacobs, P. and Maex, K. (2006) Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation, J. Appl. Phys., 99, 053511/053511-053511/053517.
-
(2006)
Appl. Phys.
, vol.99
-
-
Iacopi, F.1
Travaly, Y.2
Eyckens, B.3
Waldfried, C.4
Abell, T.5
Guyer, E.P.6
Gage, D.M.7
Dauskardt, R.H.8
Sajavaara, T.9
Houthoofd, K.10
Grobet, P.11
Jacobs, P.12
Maex, K.13
-
73
-
-
70350158566
-
Effects of ultraviolet radiation on ultra-low-dielectric constant thin film fracture properties
-
Smith, R.S., Tsui, T.Y. and Ho, P.S. (2009) Effects of ultraviolet radiation on ultra-low-dielectric constant thin film fracture properties. J. Mater. Res., 24, 2795-2801.
-
(2009)
J. Mater. Res.
, vol.24
, pp. 2795-2801
-
-
Smith, R.S.1
Tsui, T.Y.2
Ho, P.S.3
-
74
-
-
41549107740
-
The effect of ultraviolet light curing on the material and fracture properties of a k~2.5 low-k dielectric
-
Smith, R.S., Tsui, T. and Ho, P.S. (2007) The effect of ultraviolet light curing on the material and fracture properties of a k~2.5 low-k dielectric. Proceedings of the Materials Research Society Symposium, pp. 27-31.
-
(2007)
Proceedings of the Materials Research Society Symposium
, pp. 27-31
-
-
Smith, R.S.1
Tsui, T.2
Ho, P.S.3
-
75
-
-
77953012729
-
Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation
-
Marsik, P., Verdonck, P., De Roest, D. and Baklanov, M.R. (2010) Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation. Thin Solid Films, 518, 4266-4272.
-
(2010)
Thin Solid Films
, vol.518
, pp. 4266-4272
-
-
Marsik, P.1
Verdonck, P.2
De Roest, D.3
Baklanov, M.R.4
-
77
-
-
33846070611
-
Influence of electron-beam and ultraviolet treatments on low-k porous dielectrics
-
Martinez, E., Rochat, N., Guedj, C., Licitra, C., Imbert, G. and Le Friec, Y. (2006) Influence of electron-beam and ultraviolet treatments on low-k porous dielectrics. J. Appl. Phys., 100, 124106/124101-124106/124105.
-
(2006)
J. Appl. Phys.
, vol.100
-
-
Martinez, E.1
Rochat, N.2
Guedj, C.3
Licitra, C.4
Imbert, G.5
Le Friec, Y.6
-
78
-
-
41549102521
-
Depth dependence of ultraviolet curing of organosilicate low-k thin films
-
Kim, T.-S., Tsuji, N., Kemeling, N., Matsushita, K., Chumakov, D., Geisler, H., Zschech, E. and Dauskardt, R.H. (2008) Depth dependence of ultraviolet curing of organosilicate low-k thin films. J. Appl. Phys., 103, 064108/064101-064108/064108.
-
(2008)
J. Appl. Phys.
, vol.103
-
-
Kim, T.-S.1
Tsuji, N.2
Kemeling, N.3
Matsushita, K.4
Chumakov, D.5
Geisler, H.6
Zschech, E.7
Dauskardt, R.H.8
-
79
-
-
54049084560
-
Tuning depth profiles of organosilicate films with ultraviolet curing
-
Kim, T.-S., Tsuji, N., Matsushita, K., Kobayashi, N., Chumakov, D., Geisler, H., Zschech, E. and Dauskardt, R.H. (2008) Tuning depth profiles of organosilicate films with ultraviolet curing. J. Appl. Phys., 104, 074113/074111-074113/074116.
-
(2008)
J. Appl. Phys.
, vol.104
-
-
Kim, T.-S.1
Tsuji, N.2
Matsushita, K.3
Kobayashi, N.4
Chumakov, D.5
Geisler, H.6
Zschech, E.7
Dauskardt, R.H.8
-
80
-
-
50249102277
-
UV curing effects on glass structure and mechanical properties of organosilicate low-k thin film
-
Gage, D.M., Guyer, E.P., Stebbins, J., Cui, Z., Al-Bayati, A., Demos, A., MacWilliams, K.P. and Dauskardt, R.H. (2006) UV curing effects on glass structure and mechanical properties of organosilicate low-k thin films. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June 2006, pp. 149-151.
-
(2006)
Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June
, vol.2006
-
-
Gage, D.M.1
Guyer, E.P.2
Stebbins, J.3
Cui, Z.4
Al-Bayati, A.5
Demos, A.6
MacWilliams, K.P.7
Dauskardt, R.H.8
-
81
-
-
50849122022
-
Effects of UV cure on glass structure and fracture properties of nanoporous carbon-doped oxide thin films
-
Gage, D.M., Stebbins, J.F., Peng, L., Cui, Z., Al-Bayati, A., MacWilliams, K.P., M'Saad, H. and Dauskardt, R.H. (2008) Effects of UV cure on glass structure and fracture properties of nanoporous carbon-doped oxide thin films. J. Appl. Phys., 104, 043513/043511-043513/043518.
-
(2008)
J. Appl. Phys.
, vol.104
-
-
Gage, D.M.1
Stebbins, J.F.2
Peng, L.3
Cui, Z.4
Al-Bayati, A.5
MacWilliams, K.P.6
M'Saad, H.7
Dauskardt, R.H.8
-
82
-
-
33846700734
-
Thermomechanical properties of thin organosilicate glass films treated with ultraviolet-assisted cure
-
Iacopi, F., Beyer, G., Travaly, Y., Waldfried, C., Gage, D.M., Dauskardt, R.H., Houthoofd, K., Jacobs, P., Adriaensens, P., Schulze, K., Schulz, S.E., List, S. and Carlotti, G. (2007) Thermomechanical properties of thin organosilicate glass films treated with ultraviolet-assisted cure. Acta Mater., 55, 1407-1414.
-
(2007)
Acta Mater.
, vol.55
, pp. 1407-1414
-
-
Iacopi, F.1
Beyer, G.2
Travaly, Y.3
Waldfried, C.4
Gage, D.M.5
Dauskardt, R.H.6
Houthoofd, K.7
Jacobs, P.8
Adriaensens, P.9
Schulze, K.10
Schulz, S.E.11
List, S.12
Carlotti, G.13
-
83
-
-
69249191580
-
Tailoring UV cure depth profiles for optimal mechanical properties of organosilicate thin films
-
Kim, T.-S., Chumakov, D., Zschech, E. and Dauskardt, R.H. (2009) Tailoring UV cure depth profiles for optimal mechanical properties of organosilicate thin films. Appl. Phys. Lett., 95, 071902/071901-071902/071903.
-
(2009)
Appl. Phys. Lett.
, vol.95
-
-
Kim, T.-S.1
Chumakov, D.2
Zschech, E.3
Dauskardt, R.H.4
-
84
-
-
51449115467
-
PECVD low-permittivity organosilicate glass coatings: adhesion, fracture and mechanical properties
-
Lin, Y., Xiang, Y., Tsui, T.Y. and Vlassak, J.J. (2008) PECVD low-permittivity organosilicate glass coatings: adhesion, fracture and mechanical properties. Acta Mater., 56, 4932-4943.
-
(2008)
Acta Mater.
, vol.56
, pp. 4932-4943
-
-
Lin, Y.1
Xiang, Y.2
Tsui, T.Y.3
Vlassak, J.J.4
-
85
-
-
3142552846
-
A new approach to ultralow-k dielectrics
-
60
-
Calvert, J.M. and Gallagher, M.K. (2003) A new approach to ultralow-k dielectrics. Semicond. Int., 26, 56-58, 60.
-
(2003)
Semicond. Int.
, vol.26
, pp. 56-58
-
-
Calvert, J.M.1
Gallagher, M.K.2
-
86
-
-
84885740307
-
Method for forming a porous dielectric layer in a semiconductor device and device formed
-
Patent 6,451,712 B1
-
Dalton, T.J., Greco, S.E., Hedrick, J.C., Nitta, S.V., Purushothaman, S., Rodbell, K.P. and Rosenberg, R. (2002) Method for forming a porous dielectric layer in a semiconductor device and device formed, Patent 6,451,712 B1.
-
(2002)
-
-
Dalton, T.J.1
Greco, S.E.2
Hedrick, J.C.3
Nitta, S.V.4
Purushothaman, S.5
Rodbell, K.P.6
Rosenberg, R.7
-
87
-
-
8644288284
-
Cu/ULK integration using a post integration porogen removal approach
-
Burlingame, California
-
Fayolle, M., Jousseaume, V., Assous, M., Tabouret, E., le Cornec, C., Haumesser, P.H., Leduc, P., Feldis, H., Louveau, O., Passemard, G. and Fusalba, F. (2004) Cu/ULK integration using a post integration porogen removal approach. Proceedings of the 7th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 208-210.
-
(2004)
Proceedings of the 7th IEEE International Interconnect Technology Conference
, pp. 208-210
-
-
Fayolle, M.1
Jousseaume, V.2
Assous, M.3
Tabouret, E.4
le Cornec, C.5
Haumesser, P.H.6
Leduc, P.7
Feldis, H.8
Louveau, O.9
Passemard, G.10
Fusalba, F.11
-
88
-
-
84950321295
-
Electronic device manufacture
-
Patent 6,667,147 B2.
-
Gallagher, M.K. and You, Y. (2002) Electronic device manufacture, Patent 6,667,147 B2.
-
(2002)
-
-
Gallagher, M.K.1
You, Y.2
-
89
-
-
33646497935
-
Plasma-enhancedchemical- vapor-deposited ultralow k for a postintegration porogen removal approach
-
Jousseaume, V., Favennec, L., Zenasni, A. and Passemard, G. (2006) Plasma-enhancedchemical- vapor-deposited ultralow k for a postintegration porogen removal approach. Appl. Phys. Lett., 88, 182908/182901-182908/182903.
-
(2006)
Appl. Phys. Lett.
, vol.88
-
-
Jousseaume, V.1
Favennec, L.2
Zenasni, A.3
Passemard, G.4
-
90
-
-
84886447980
-
Damascene integration of copper and ultralow-k xerogel for high performance interconnects
-
Zielinski, E.M., Russell, S.W., List, R.S., Wilson, A.M., Jin, C., Newton, K.J., Lu, J.P., Hurd, T., Hsu, W.Y., Cordasco, V., Gopikanth, M., Korthuis, V., Lee, W., Cerny, G., Russell, N.M., Smith, P.B., O'Brien, S. and Havemann, R.H. (1997) Damascene integration of copper and ultralow-k xerogel for high performance interconnects. Technical Digest-International Electron Devices Meeting, pp. 936-938.
-
(1997)
Technical Digest-International Electron Devices Meeting
, pp. 936-938
-
-
Zielinski, E.M.1
Russell, S.W.2
List, R.S.3
Wilson, A.M.4
Jin, C.5
Newton, K.J.6
Lu, J.P.7
Hurd, T.8
Hsu, W.Y.9
Cordasco, V.10
Gopikanth, M.11
Korthuis, V.12
Lee, W.13
Cerny, G.14
Russell, N.M.15
Smith, P.B.16
O'Brien, S.17
Havemann, R.H.18
-
91
-
-
0031256186
-
Nanoporous silica as an ultralowk dielectric
-
Jin, C., Luttmer, J.D., Smith, D.M. and Ramos, T.A. (1997) Nanoporous silica as an ultralowk dielectric. MRS Bull., 22, 39-42.
-
(1997)
MRS Bull.
, vol.22
, pp. 39-42
-
-
Jin, C.1
Luttmer, J.D.2
Smith, D.M.3
Ramos, T.A.4
-
92
-
-
4544343185
-
Ultra thin CVD TiN layers as diffusion barrier films on porous low-k materials
-
Bonitz, J., Schulz, S.E. and Gessner, T. (2004) Ultra thin CVD TiN layers as diffusion barrier films on porous low-k materials. Microelectron. Engng, 76, 82-88.
-
(2004)
Microelectron. Engng
, vol.76
, pp. 82-88
-
-
Bonitz, J.1
Schulz, S.E.2
Gessner, T.3
-
93
-
-
8644278122
-
Pore-sealing by etch-byproduct followed by ALD-Ta adhesion layer for Cu/ porous low-k interconnects
-
Burlingame, California
-
Furuya, A., Soda, E., Yoneda, K., Yoshie, T., Okamura, H., Shimada, M., Ohtsuka, N. and Ogawa, S. (2004) Pore-sealing by etch-byproduct followed by ALD-Ta adhesion layer for Cu/ porous low-k interconnects. Proceedings of the 7th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 39-41.
-
(2004)
Proceedings of the 7th IEEE International Interconnect Technology Conference
, pp. 39-41
-
-
Furuya, A.1
Soda, E.2
Yoneda, K.3
Yoshie, T.4
Okamura, H.5
Shimada, M.6
Ohtsuka, N.7
Ogawa, S.8
-
94
-
-
84944077174
-
Porous low k pore sealing process study for 65 nm and below technologies
-
Burlingame, California
-
Mourier, T., Jousseaume, V., Fusalba, F., Lecornec, C., Maury, P., Passemard, G., Haumesser, P.H., Maitrejean, S., Cordeau, M., Pantel, R., Pierre, F., Fayolle, M. and Feldis, H. (2003) Porous low k pore sealing process study for 65 nm and below technologies. Proceedings of the 6th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 245-247.
-
(2003)
Proceedings of the 6th IEEE International Interconnect Technology Conference
, pp. 245-247
-
-
Mourier, T.1
Jousseaume, V.2
Fusalba, F.3
Lecornec, C.4
Maury, P.5
Passemard, G.6
Haumesser, P.H.7
Maitrejean, S.8
Cordeau, M.9
Pantel, R.10
Pierre, F.11
Fayolle, M.12
Feldis, H.13
-
95
-
-
17644448440
-
A 65-nm-node, Cu interconnect technology using porous SiOCH film (k = 2.5) covered with ultra-thin, low-k pore seal (k = 2.7)
-
Tada, M., Harada, Y., Tamura, T., Inoue, N., Ito, F., Yoshiki, M., Ohtake, H., Narihiro, M., Tagami, M., Ueki, M., Hijioka, K., Abe, M., Takeuchi, T., Saito, S., Onodera, T., Furutake, N., Arai, K., Fujii, K. and Hayashi, Y. (2003) A 65-nm-node, Cu interconnect technology using porous SiOCH film (k = 2.5) covered with ultra-thin, low-k pore seal (k = 2.7). Proceedings of Technical Digest-International Electron Devices Meeting, pp. 845-848.
-
(2003)
Proceedings of Technical Digest-International Electron Devices Meeting
, pp. 845-848
-
-
Tada, M.1
Harada, Y.2
Tamura, T.3
Inoue, N.4
Ito, F.5
Yoshiki, M.6
Ohtake, H.7
Narihiro, M.8
Tagami, M.9
Ueki, M.10
Hijioka, K.11
Abe, M.12
Takeuchi, T.13
Saito, S.14
Onodera, T.15
Furutake, N.16
Arai, K.17
Fujii, K.18
Hayashi, Y.19
-
96
-
-
4544274746
-
Damage minimized plasma pore sealing of microporous low-k dielectrics
-
Abell, T. and Maex, K. (2004) Damage minimized plasma pore sealing of microporous low-k dielectrics. Microelectron. Engng, 76, 16-19.
-
(2004)
Microelectron. Engng
, vol.76
, pp. 16-19
-
-
Abell, T.1
Maex, K.2
-
97
-
-
0036118847
-
Properties of porous HSQ-based films capped by plasma-enhanced chemical vapor deposition dielectric layers
-
Iacopi, F., Baklanov, M.R., Sleeckx, E., Conard, T., Bender, H., Meynen, H. and Maex, K. (2002) Properties of porous HSQ-based films capped by plasma-enhanced chemical vapor deposition dielectric layers. J. Vac. Sci. Technol., B, 20, 109-115.
-
(2002)
J. Vac. Sci. Technol., B
, vol.20
, pp. 109-115
-
-
Iacopi, F.1
Baklanov, M.R.2
Sleeckx, E.3
Conard, T.4
Bender, H.5
Meynen, H.6
Maex, K.7
-
98
-
-
8644242317
-
Ultra low-k integration solutions using GCIB processing
-
Burlingame, California
-
White, B., Book, G., Hautala, J. and Tabat, M. (2004) Ultra low-k integration solutions using GCIB processing. Proceedings of the 7th IEEE International Interconnect Technology Conferance, Burlingame, California, pp. 193-195.
-
(2004)
Proceedings of the 7th IEEE International Interconnect Technology Conferance
, pp. 193-195
-
-
White, B.1
Book, G.2
Hautala, J.3
Tabat, M.4
-
99
-
-
0036923576
-
Advanced metal barrier free Cu damascene interconnects with PECVD silicon carbide barriers for 90/65-nm BEOL technology
-
Wu, Z.C., Lu, Y.C., Chiang, C.C., Chen, M.C., Chen, B.T., Wang, G.J., Chen, Y.T., Huang, J.L., Jang, S.M. and Liang, M.S. (2002) Advanced metal barrier free Cu damascene interconnects with PECVD silicon carbide barriers for 90/65-nm BEOL technology. Technical Digest -International Electron Devices Meeting, pp. 595-598.
-
(2002)
Technical Digest -International Electron Devices Meeting
, pp. 595-598
-
-
Wu, Z.C.1
Lu, Y.C.2
Chiang, C.C.3
Chen, M.C.4
Chen, B.T.5
Wang, G.J.6
Chen, Y.T.7
Huang, J.L.8
Jang, S.M.9
Liang, M.S.10
-
100
-
-
50249182950
-
Effect of CH4 plasma on porous dielectric modification and pore sealing for advanced interconnect technology nodes
-
Burlingame, California
-
Aimadeddine, M., Arnal, V., Roy, D., Farcy, A., David, T., Chevolleau, T., Posseme, N., Vitiello, J., Chapelon, L.L., Guedj, C., Brechet, Y., Volpi, F. and Torres, J. (2006) Effect of CH4 plasma on porous dielectric modification and pore sealing for advanced interconnect technology nodes. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, pp. 81-83.
-
(2006)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 81-83
-
-
Aimadeddine, M.1
Arnal, V.2
Roy, D.3
Farcy, A.4
David, T.5
Chevolleau, T.6
Posseme, N.7
Vitiello, J.8
Chapelon, L.L.9
Guedj, C.10
Brechet, Y.11
Volpi, F.12
Torres, J.13
-
101
-
-
20544471147
-
Impact of reductive N2/H2 plasma on porous low-dielectric constant SiCOH thin films
-
Cui, H., Carter, R.J., Moore, D.L., Peng, H.-G., Gidley, D.W. and Burke, P.A. (2005) Impact of reductive N2/H2 plasma on porous low-dielectric constant SiCOH thin films. J. Appl. Phys., 97, 113302/113301-113302/113308.
-
(2005)
J. Appl. Phys.
, vol.97
-
-
Cui, H.1
Carter, R.J.2
Moore, D.L.3
Peng, H.-G.4
Gidley, D.W.5
Burke, P.A.6
-
102
-
-
8644248353
-
Process optimisation and dual damascene integration of porous CVD SiOC dielectric at 2.4 and 2.2 k-values for 45 nm CMOS technology
-
Burlingame, California
-
Arnal, V., Hoofman, R.J.O.M., Assous, M., Bancken, P.H.L., Broekaart, M., Brun, P., Casanova, N., Chapelon, L.L., Chevolleau, T., Cowache, C., Daamen, R., Farcy, A., Fayolle, M., Feldis, H., Furukawa, Y., Goldberg, C., Gosset, L.G., Guedj, C., Haxaire, K., Hinsinger, O., Josse, E., Jullian, S., Louveau, O., Michelon, J., Posseme, N., Rivoire, M., Roman, A., Vandeweyer, T., Verheijden, G.J.A.M. and Torres, J. (2004) Process optimisation and dual damascene integration of porous CVD SiOC dielectric at 2.4 and 2.2 k-values for 45 nm CMOS technology. Proceedings of the 7th IEEE International Interconnect Technology Conference, Burlingame, California, pp. 202-204.
-
(2004)
Proceedings of the 7th IEEE International Interconnect Technology Conference
, pp. 202-204
-
-
Arnal, V.1
Hoofman, R.J.O.M.2
Assous, M.3
Bancken, P.H.L.4
Broekaart, M.5
Brun, P.6
Casanova, N.7
Chapelon, L.L.8
Chevolleau, T.9
Cowache, C.10
Daamen, R.11
Farcy, A.12
Fayolle, M.13
Feldis, H.14
Furukawa, Y.15
Goldberg, C.16
Gosset, L.G.17
Guedj, C.18
Haxaire, K.19
Hinsinger, O.20
Josse, E.21
Jullian, S.22
Louveau, O.23
Michelon, J.24
Posseme, N.25
Rivoire, M.26
Roman, A.27
Vandeweyer, T.28
Verheijden, G.J.A.M.29
Torres, J.30
more..
-
103
-
-
84944073935
-
Novel dissoluble hardmask for damage-less Cu/low-k interconnect fabrication
-
San Francisco, California
-
Furusawa, T., Machida, S., Ryuzaki, D., Sameshima, K., Ishida, T., Ishikawa, K., Miura, N., Konishi, N., Saito, T. and Yamaguchi, H. (2003) Novel dissoluble hardmask for damage-less Cu/low-k interconnect fabrication. Proceedings of the 6th IEEE International Interconnect Technology Conference, San Francisco, California, pp. 195-197.
-
(2003)
Proceedings of the 6th IEEE International Interconnect Technology Conference
, pp. 195-197
-
-
Furusawa, T.1
Machida, S.2
Ryuzaki, D.3
Sameshima, K.4
Ishida, T.5
Ishikawa, K.6
Miura, N.7
Konishi, N.8
Saito, T.9
Yamaguchi, H.10
-
104
-
-
21644448705
-
Demonstration of an extendable and industrial 300 mm BEOL integration for the 65-nm technology node
-
Hinsinger, O., Fox, R., Sabouret, E., Goldberg, C., Verove, C., Besling, W., Brun, P., Josse, E., Monget, C., Belmont, O., Van Hassel, J., Sharma, B.G., Jacquemin, J.P., Vannier, P.,A. Humbert, A.,D. Bunel, D.,R. Gonella, R.,E. Mastromatteo, E.,D. Reber, D., Farcy, A., Mueller, J., Christie, P., Nguyen, V.H., Cregut, C. and Berger, T. (2004) Demonstration of an extendable and industrial 300 mm BEOL integration for the 65-nm technology node. Technical Digest- International Electron Devices Meeting, pp. 317-320.
-
(2004)
Technical Digest- International Electron Devices Meeting
, pp. 317-320
-
-
Hinsinger, O.1
Fox, R.2
Sabouret, E.3
Goldberg, C.4
Verove, C.5
Besling, W.6
Brun, P.7
Josse, E.8
Monget, C.9
Belmont, O.10
Van Hassel, J.11
Sharma, B.G.12
Jacquemin, J.P.13
Vannier, P.A.14
Humbert, A.D.15
Bunel, D.R.16
Gonella, R.E.17
Mastromatteo, E.D.18
Reber, D.19
Farcy, A.20
Mueller, J.21
Christie, P.22
Nguyen, V.H.23
Cregut, C.24
Berger, T.25
more..
-
105
-
-
28244435885
-
Low-damage damascene patterning of SiOC(H) low-k dielectrics
-
Burlingame, California
-
Struyf, H., Hendrickx, D., Van Olmen, J., Iacopi, F., Richard, O., Travaly, Y., Van Hove, M., Boullart, W. and Vanhaelemeersch, S. (2005) Low-damage damascene patterning of SiOC(H) low-k dielectrics. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, pp. 30-32.
-
(2005)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 30-32
-
-
Struyf, H.1
Hendrickx, D.2
Van Olmen, J.3
Iacopi, F.4
Richard, O.5
Travaly, Y.6
Van Hove, M.7
Boullart, W.8
Vanhaelemeersch, S.9
-
106
-
-
0032636121
-
Effects of hydrogen on electrical and chemical properties of low-k hydrogen silsesquioxane as an intermetal dielectric for nonetchback processes
-
Chang, T.C., Liu, P.T., Shih, F.Y. and Sze, S.M. (1999) Effects of hydrogen on electrical and chemical properties of low-k hydrogen silsesquioxane as an intermetal dielectric for nonetchback processes. Electrochem. Solid-State Lett., 2, 390-392.
-
(1999)
Electrochem. Solid-State Lett.
, vol.2
, pp. 390-392
-
-
Chang, T.C.1
Liu, P.T.2
Shih, F.Y.3
Sze, S.M.4
-
107
-
-
0036002398
-
Passivation effect on low-ΰ SiOC dielectrics by H2 plasma treatment
-
Kim, Y.-H., Kim, H.J., Kim, J.Y. and Lee, Y. (2002) Passivation effect on low-ΰ SiOC dielectrics by H2 plasma treatment. J. Korean Phys. Soc., 40, 94-98.
-
(2002)
J. Korean Phys. Soc.
, vol.40
, pp. 94-98
-
-
Kim, Y.-H.1
Kim, H.J.2
Kim, J.Y.3
Lee, Y.4
-
108
-
-
0035982571
-
Reduction of etching plasma damage on low dielectric constant fluorinated amorphous carbon films by multiple H2 plasma treatment
-
Shieh, J.-M., Tsai, K.-C., Dai, B.-T., Wu, Y.-C. and Wu, Y.-H. (2002) Reduction of etching plasma damage on low dielectric constant fluorinated amorphous carbon films by multiple H2 plasma treatment. J. Vac. Sci. Technol., B, 20, 1476-1481.
-
(2002)
J. Vac. Sci. Technol., B
, vol.20
, pp. 1476-1481
-
-
Shieh, J.-M.1
Tsai, K.-C.2
Dai, B.-T.3
Wu, Y.-C.4
Wu, Y.-H.5
-
109
-
-
45249108659
-
Modifications of porous low-k by plasma treatments and wet cleaning
-
Xu, K., Vereecke, G., Kesters, E., Le, Q.T., Lux, M., Henry, S.A., Kraus, H., Archer, L., Mertens, P.W., Kovacs, F., Dalmer, M., Gaulhofer, E., Luo, S.J., Han, Q.Y. and Berry, I. (2007) Modifications of porous low-k by plasma treatments and wet cleaning. ECS Trans., 11, 409-416.
-
(2007)
ECS Trans.
, vol.11
, pp. 409-416
-
-
Xu, K.1
Vereecke, G.2
Kesters, E.3
Le, Q.T.4
Lux, M.5
Henry, S.A.6
Kraus, H.7
Archer, L.8
Mertens, P.W.9
Kovacs, F.10
Dalmer, M.11
Gaulhofer, E.12
Luo, S.J.13
Han, Q.Y.14
Berry, I.15
-
110
-
-
2942655393
-
Interaction of hydrogen plasma with extreme low-k SiCOH dielectrics
-
Grill, A. and Patel, V. (2004) Interaction of hydrogen plasma with extreme low-k SiCOH dielectrics. J. Electrochem. Soc., 151, F133-F134.
-
(2004)
J. Electrochem. Soc.
, vol.151
-
-
Grill, A.1
Patel, V.2
-
111
-
-
27144524898
-
Hydrogen plasma effects on ultralow-k porous SiCOH dielectrics
-
Grill, A., Sternhagen, V., Neumayer, D. and Patel, V. (2005) Hydrogen plasma effects on ultralow-k porous SiCOH dielectrics. J. Appl. Phys., 98, 074502/074501-074502/074507.
-
(2005)
J. Appl. Phys.
, vol.98
-
-
Grill, A.1
Sternhagen, V.2
Neumayer, D.3
Patel, V.4
-
112
-
-
33645350594
-
H2/He plasma for photoresist stripping over porous MSQ low-k films
-
Society
-
Berry, I., Shiota, A., Han, Q., Waldfried, C., Sekiguchi, M. and Escorcia, O. (2003) H2/He plasma for photoresist stripping over porous MSQ low-k films. Proceedings of the Electrochem. Society, pp. 202-210.
-
(2003)
Proceedings of the Electrochem
, pp. 202-210
-
-
Berry, I.1
Shiota, A.2
Han, Q.3
Waldfried, C.4
Sekiguchi, M.5
Escorcia, O.6
-
113
-
-
34547792853
-
A carbon-restoring silylation process for low-k dielectric repair
-
82, 84, 86
-
Bhanap, A., Korolev, B., Nitta, S., Purushothaman, S., Bonilla, G. and Ryan, E.T. (2007) A carbon-restoring silylation process for low-k dielectric repair. Solid State Technol., 50, 79-80, 82, 84, 86.
-
(2007)
Solid State Technol.
, vol.50
, pp. 79-80
-
-
Bhanap, A.1
Korolev, B.2
Nitta, S.3
Purushothaman, S.4
Bonilla, G.5
Ryan, E.T.6
-
114
-
-
0035982532
-
Effective repair to ultra-low-k dielectric material (k approx
-
Mor, Y.S., Chang, T.C., Liu, P.T., Tsai, T.M., Chen, C.W., Yan, S.T., Chu, C.J., Wu, W.F., Pan, F.M., Lur, W. and Sze, S.M. (2002) Effective repair to ultra-low-k dielectric material (k approx. 2.0) by hexamethyldisilazane treatment. J. Vac. Sci. Technol., B, 20, 1334-1338.
-
(2002)
2.0) by hexamethyldisilazane treatment. J. Vac. Sci. Technol., B
, vol.20
, pp. 1334-1338
-
-
Mor, Y.S.1
Chang, T.C.2
Liu, P.T.3
Tsai, T.M.4
Chen, C.W.5
Yan, S.T.6
Chu, C.J.7
Wu, W.F.8
Pan, F.M.9
Lur, W.10
Sze, S.M.11
-
115
-
-
10644284066
-
Effect of silylation on triethoxyfluorosilane xerogel films by means of atmospheric pressure drying
-
Orozco-Teran, R.A., Gorman, B.P., Mueller, D.W., Baklanov, M.R. and Reidy, R.F. (2004) Effect of silylation on triethoxyfluorosilane xerogel films by means of atmospheric pressure drying. Thin Solid Films, 471, 145-153.
-
(2004)
Thin Solid Films
, vol.471
, pp. 145-153
-
-
Orozco-Teran, R.A.1
Gorman, B.P.2
Mueller, D.W.3
Baklanov, M.R.4
Reidy, R.F.5
-
116
-
-
39649102158
-
Hexamethyldisilazane vapor treatment of plasma damaged nanoporous methylsilsesquioxane films: structural and electrical characteristics
-
Rajagopalan, T., Lahlouh, B., Chari, I., Othman, M.T., Biswas, N., Toma, D. and Gangopadhyay, S. (2008) Hexamethyldisilazane vapor treatment of plasma damaged nanoporous methylsilsesquioxane films: structural and electrical characteristics. Thin Solid Films, 516, 3399- 3404.
-
(2008)
Thin Solid Films
, vol.516
, pp. 3399-3404
-
-
Rajagopalan, T.1
Lahlouh, B.2
Chari, I.3
Othman, M.T.4
Biswas, N.5
Toma, D.6
Gangopadhyay, S.7
-
117
-
-
62149123953
-
Pore orientation and silylation effects on mesoporous silica film properties
-
Singh, A.P., Gandhi, D.D., Singh, B., Simonyi, E., Liniger, E.G., Nitta, S.V., Lane, M.W. and Ramanath, G. (2009) Pore orientation and silylation effects on mesoporous silica film properties. Appl. Phys. Lett., 94, 093502/093501-093502/093503.
-
(2009)
Appl. Phys. Lett.
, vol.94
-
-
Singh, A.P.1
Gandhi, D.D.2
Singh, B.3
Simonyi, E.4
Liniger, E.G.5
Nitta, S.V.6
Lane, M.W.7
Ramanath, G.8
-
118
-
-
0038608095
-
Microstructure and mechanical properties of surfactant templated nanoporous silica thin films: effect of methylsilylation
-
Chen, J.Y., Pan, F.M., Cho, A.T., Chao, K.J., Tsai, T.G., Wu, B.W., Yang, C.M. and Chang, L. (2003) Microstructure and mechanical properties of surfactant templated nanoporous silica thin films: effect of methylsilylation. J. Electrochem. Soc., 150, F123-F127.
-
(2003)
J. Electrochem. Soc.
, vol.150
-
-
Chen, J.Y.1
Pan, F.M.2
Cho, A.T.3
Chao, K.J.4
Tsai, T.G.5
Wu, B.W.6
Yang, C.M.7
Chang, L.8
-
119
-
-
34249871356
-
Restoration and pore sealing of plasma damaged porous organosilicate low k dielectrics with phenyl containing agents
-
Liu, J., Kim, W., Bao, J., Shi, H., Baek, W. and Ho, P.S. (2007) Restoration and pore sealing of plasma damaged porous organosilicate low k dielectrics with phenyl containing agents. J. Vac. Sci. Technol., B, 25, 906-912.
-
(2007)
J. Vac. Sci. Technol., B
, vol.25
, pp. 906-912
-
-
Liu, J.1
Kim, W.2
Bao, J.3
Shi, H.4
Baek, W.5
Ho, P.S.6
-
120
-
-
77955228025
-
Repair of plasma-damaged p-SiOCH dielectric films in supercritical CO2
-
Jung, J.M., Kwon, H.S., Lee, W.-K., Choi, B.-C., Kim, H.G. and Lim, K.T. (2010) Repair of plasma-damaged p-SiOCH dielectric films in supercritical CO2. Microelectron. Engng, 87, 1680-1684.
-
(2010)
Microelectron. Engng
, vol.87
, pp. 1680-1684
-
-
Jung, J.M.1
Kwon, H.S.2
Lee, W.-K.3
Choi, B.-C.4
Kim, H.G.5
Lim, K.T.6
-
121
-
-
85111791793
-
Chemical repair of plasma damaged porous ultra low-ΰ SiOCH film using a vapor phase process
-
Oszinda, T., Schaller, M. and Schulz, S.E. (2010) Chemical repair of plasma damaged porous ultra low-ΰ SiOCH film using a vapor phase process. ECS Trans., 25, 19-30.
-
(2010)
ECS Trans.
, vol.25
, pp. 19-30
-
-
Oszinda, T.1
Schaller, M.2
Schulz, S.E.3
-
122
-
-
3242716917
-
Rapid repair of plasma ash damage in low-k dielectrics using supercritical CO2
-
Gorman, B.P., Orozco-Teran, R.A., Zhang, Z., Matz, P.D., Mueller, D.W. and Reidy, R.F. (2004) Rapid repair of plasma ash damage in low-k dielectrics using supercritical CO2. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.-Process., Measmt, Phenom., 22, 1210- 1212.
-
(2004)
J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.-Process., Measmt, Phenom.
, vol.22
, pp. 1210-1212
-
-
Gorman, B.P.1
Orozco-Teran, R.A.2
Zhang, Z.3
Matz, P.D.4
Mueller, D.W.5
Reidy, R.F.6
-
123
-
-
50249154177
-
Breakthrough integration of 32 nm-node Cu/ultra low-k SiOC (k = 2.0) interconnects by using advanced pore-sealing and low-k hard mask technologies
-
Burlingame, California
-
Arakawa, S., Mizuno, I., Ohoka, Y., Nagahata, K., Tabuchi, K., Kanamura, R. and Kadomura, S. (2006) Breakthrough integration of 32 nm-node Cu/ultra low-k SiOC (k = 2.0) interconnects by using advanced pore-sealing and low-k hard mask technologies. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, pp. 210-212.
-
(2006)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 210-212
-
-
Arakawa, S.1
Mizuno, I.2
Ohoka, Y.3
Nagahata, K.4
Tabuchi, K.5
Kanamura, R.6
Kadomura, S.7
-
124
-
-
50249085516
-
High performance ultra low-k (k = 2.0/keff = 2.4)/Cu dual-damascene interconnect technology with self-formed MnSixOy barrier layer for 32 nm-node
-
Burlingame, California
-
Usui, T., Tsumura, K., Nasu, H., Hayashi, Y., Minamihaba, G., Toyoda, H., Sawada, H., Ito, S., Miyajima, H., Watanabe, K., Shimada, M., Kojima, A., Uozumi, Y. and Shibata, H. (2006) High performance ultra low-k (k = 2.0/keff = 2.4)/Cu dual-damascene interconnect technology with self-formed MnSixOy barrier layer for 32 nm-node. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, pp. 216-218.
-
(2006)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 216-218
-
-
Usui, T.1
Tsumura, K.2
Nasu, H.3
Hayashi, Y.4
Minamihaba, G.5
Toyoda, H.6
Sawada, H.7
Ito, S.8
Miyajima, H.9
Watanabe, K.10
Shimada, M.11
Kojima, A.12
Uozumi, Y.13
Shibata, H.14
-
125
-
-
50949084486
-
Robust BEOL process integration with ultra low-k (k = 2.0) dielectric and self-formed MnOx barrier technology for 32 nm-node and beyond
-
Burlingame, California
-
Watanabe, T., Hayashi, Y., Tomizawa, H., Usui, T., Gawase, A., Shimada, M., Watanabe, K. and Shibata, H. (2008) Robust BEOL process integration with ultra low-k (k = 2.0) dielectric and self-formed MnOx barrier technology for 32 nm-node and beyond. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, pp. 208-210.
-
(2008)
Proceedings of the IEEE International Interconnect Technology Conference
, pp. 208-210
-
-
Watanabe, T.1
Hayashi, Y.2
Tomizawa, H.3
Usui, T.4
Gawase, A.5
Shimada, M.6
Watanabe, K.7
Shibata, H.8
-
126
-
-
50249182388
-
Robust 45-nm node Cu/ULK interconnects using effective porogen control
-
Burlingame, California
-
Kagawa, Y., Enomoto, Y., Shimayama, T., Kameshima, T., Okamoto, M., Kawashima, H., Yamada, A., Hasegawa, T., Akiyama, K., Masuda, H., Miyajima, H., Shibata, H. and Kadomura, S. (2006) Robust 45-nm node Cu/ULK interconnects using effective porogen control. Proceedings of the IEEE International Interconnect Technology Conf., Burlingame, California, pp. 207-209.
-
(2006)
Proceedings of the IEEE International Interconnect Technology Conf.
, pp. 207-209
-
-
Kagawa, Y.1
Enomoto, Y.2
Shimayama, T.3
Kameshima, T.4
Okamoto, M.5
Kawashima, H.6
Yamada, A.7
Hasegawa, T.8
Akiyama, K.9
Masuda, H.10
Miyajima, H.11
Shibata, H.12
Kadomura, S.13
-
127
-
-
33751391983
-
Zeolite and molecular sieve synthesis
-
Davis, M.E. and Lobo, R.F. (1992) Zeolite and molecular sieve synthesis. Chem. Mater., 4, 756-768.
-
(1992)
Chem. Mater.
, vol.4
, pp. 756-768
-
-
Davis, M.E.1
Lobo, R.F.2
-
128
-
-
0013385076
-
From microporous to mesoporous molecular sieve materials and their use in catalysis
-
Corma, A. (1997) From microporous to mesoporous molecular sieve materials and their use in catalysis. Chem. Rev., 97, 2373-2419.
-
(1997)
Chem. Rev.
, vol.97
, pp. 2373-2419
-
-
Corma, A.1
-
129
-
-
33845228414
-
Silicalite, a new hydrophobic crystalline silica molecular sieve
-
Flanigen, E.M., Bennett, J.M., Grose, R.W., Cohen, J.P., Patton, R.L., Kirchner, R.M. and Smith, J.V. (1978) Silicalite, a new hydrophobic crystalline silica molecular sieve. Nature (London), 271, 512-516.
-
(1978)
Nature (London)
, vol.271
, pp. 512-516
-
-
Flanigen, E.M.1
Bennett, J.M.2
Grose, R.W.3
Cohen, J.P.4
Patton, R.L.5
Kirchner, R.M.6
Smith, J.V.7
-
130
-
-
0001090327
-
Silicalite-2, a silica analog of the aluminosilicate zeolite ZSM-11
-
Bibby, D.M., Milestone, N.B. and Aldridge, L.P. (1979) Silicalite-2, a silica analog of the aluminosilicate zeolite ZSM-11. Nature (London), 280, 664-665.
-
(1979)
Nature (London)
, vol.280
, pp. 664-665
-
-
Bibby, D.M.1
Milestone, N.B.2
Aldridge, L.P.3
-
131
-
-
33749260523
-
Mechanical and dielectric properties of pure-silica-zeolite low-k materials
-
Li, Z., Johnson, M.C., Sun, M., Ryan, E.T., Earl, D.J., Maichen, W., Martin, J.I., Li, S., Lew, C.M., Wang, J., Deem, M.W., Davis, M.E. and Yan, Y. (2006) Mechanical and dielectric properties of pure-silica-zeolite low-k materials. Angew. Chem., Int. Ed., 45, 6329-6332.
-
(2006)
Angew. Chem., Int. Ed.
, vol.45
, pp. 6329-6332
-
-
Li, Z.1
Johnson, M.C.2
Sun, M.3
Ryan, E.T.4
Earl, D.J.5
Maichen, W.6
Martin, J.I.7
Li, S.8
Lew, C.M.9
Wang, J.10
Deem, M.W.11
Davis, M.E.12
Yan, Y.13
-
132
-
-
0035902002
-
Pure-silica zeolite low-k dielectric thin films
-
Z. Wang, Z.,H. Wang, H.,A. Mitra, A.,L. Huang, L. and Y. Yan, Y. (2001) Pure-silica zeolite low-k dielectric thin films. Adv. Mater., 13, 746-749.
-
(2001)
Adv. Mater.
, vol.13
, pp. 746-749
-
-
Wang, Z.Z.1
Wang, H.H.2
Mitra, A.A.3
Huang, L.L.4
Yan, Y.Y.5
-
133
-
-
84885746979
-
Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
-
Patent 6,329,062
-
Gaynor, J.F. (2001) Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits. Patent 6,329,062.
-
(2001)
-
-
Gaynor, J.F.1
-
134
-
-
84885746979
-
Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
-
Patent 6,566,243
-
Gaynor, J.F. (2003) Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits. Patent 6,566,243.
-
(2003)
-
-
Gaynor, J.F.1
-
135
-
-
38049131856
-
Characterization of a molecular sieve coating using ellipsometric porosimetry
-
Eslava, S., Baklanov, M.R., Kirschhock, C.E.A., Iacopi, F., Aldea, S., Maex, K. and Martens, J.A. (2007) Characterization of a molecular sieve coating using ellipsometric porosimetry. Langmuir, 23, 12811-12816.
-
(2007)
Langmuir
, vol.23
, pp. 12811-12816
-
-
Eslava, S.1
Baklanov, M.R.2
Kirschhock, C.E.A.3
Iacopi, F.4
Aldea, S.5
Maex, K.6
Martens, J.A.7
-
136
-
-
33644554475
-
Interfacial adhesion of nanoporous zeolite thin films
-
Hu, L., Wang, J., Li, Z., Li, S. and Yan, Y. (2006) Interfacial adhesion of nanoporous zeolite thin films. J. Mater. Res., 21, 505-511.
-
(2006)
J. Mater. Res.
, vol.21
, pp. 505-511
-
-
Hu, L.1
Wang, J.2
Li, Z.3
Li, S.4
Yan, Y.5
-
137
-
-
0035797859
-
Pure silica zeolite films as low-k dielectrics by spin-on of nanoparticle suspensions
-
Wang, Z., Mitra, A., Wang, H., Huang, L. and Yan, Y. (2001) Pure silica zeolite films as low-k dielectrics by spin-on of nanoparticle suspensions. Adv. Mater., 13, 1463-1466.
-
(2001)
Adv. Mater.
, vol.13
, pp. 1463-1466
-
-
Wang, Z.1
Mitra, A.2
Wang, H.3
Huang, L.4
Yan, Y.5
-
138
-
-
4143142993
-
Evaluation of pore structure in pure silica zeolite MFI low-k thin films using positronium annihilation lifetime spectroscopy
-
Li, S., Sun, J., Li, Z., Peng, H., Gidley, D., Ryan, E.T. and Yan, Y. (2004) Evaluation of pore structure in pure silica zeolite MFI low-k thin films using positronium annihilation lifetime spectroscopy. J. Phys. Chem. B, 108, 11689-11692.
-
(2004)
J. Phys. Chem. B
, vol.108
, pp. 11689-11692
-
-
Li, S.1
Sun, J.2
Li, Z.3
Peng, H.4
Gidley, D.5
Ryan, E.T.6
Yan, Y.7
-
139
-
-
66549113044
-
Hydrofluoric-acid-resistant and hydrophobic pure-silica-zeolite MEL low-dielectric- constant films
-
Lew, C.M., Liu, Y., Day, B., Kloster, G.M., Tiznado, H., Sun, M., Zaera, F., Wang, J. and Yan, Y. (2009) Hydrofluoric-acid-resistant and hydrophobic pure-silica-zeolite MEL low-dielectric- constant films. Langmuir, 25, 5039-5044.
-
(2009)
Langmuir
, vol.25
, pp. 5039-5044
-
-
Lew, C.M.1
Liu, Y.2
Day, B.3
Kloster, G.M.4
Tiznado, H.5
Sun, M.6
Zaera, F.7
Wang, J.8
Yan, Y.9
-
140
-
-
0141508951
-
Ultra-low-k pure-silica zeolite MFI films using cyclodextrin as porogen
-
Li, S., Li, Z. and Yan, Y. (2003) Ultra-low-k pure-silica zeolite MFI films using cyclodextrin as porogen. Adv. Mater., 15, 1528-1531.
-
(2003)
Adv. Mater.
, vol.15
, pp. 1528-1531
-
-
Li, S.1
Li, Z.2
Yan, Y.3
-
141
-
-
8344262389
-
Effects of crystallinity in spin-on pure-silica-zeolite MFI low-dielectric-constant films
-
Li, Z., Li, S., Luo, H. and Yan, Y. (2004) Effects of crystallinity in spin-on pure-silica-zeolite MFI low-dielectric-constant films. Adv. Funct. Mater., 14, 1019-1024.
-
(2004)
Adv. Funct. Mater.
, vol.14
, pp. 1019-1024
-
-
Li, Z.1
Li, S.2
Luo, H.3
Yan, Y.4
-
142
-
-
19944384609
-
Pure-silica-zeolite MEL low-k films from nanoparticle suspensions
-
Li, Z., Lew, C.M., Li, S., Medina, D.I. and Yan, Y. (2005) Pure-silica-zeolite MEL low-k films from nanoparticle suspensions. J. Phys. Chem. B, 109, 8652-8658.
-
(2005)
J. Phys. Chem. B
, vol.109
, pp. 8652-8658
-
-
Li, Z.1
Lew, C.M.2
Li, S.3
Medina, D.I.4
Yan, Y.5
-
143
-
-
57649233534
-
Characterization of spin-on zeolite films prepared from Silicalite-1 nanoparticle suspensions
-
Eslava, S., Kirschhock, C.E.A., Aldea, S., Baklanov, M.R., Iacopi, F., Maex, K. and Martens, J.A. (2009) Characterization of spin-on zeolite films prepared from Silicalite-1 nanoparticle suspensions. Microporous Mesoporous Mater., 118, 458-466.
-
(2009)
Microporous Mesoporous Mater.
, vol.118
, pp. 458-466
-
-
Eslava, S.1
Kirschhock, C.E.A.2
Aldea, S.3
Baklanov, M.R.4
Iacopi, F.5
Maex, K.6
Martens, J.A.7
-
144
-
-
33846270639
-
Mechanical characterization of zeolite low dielectric constant thin films by nanoindentation
-
Johnson, M., Li, Z., Wang, J. and Yan, Y. (2007) Mechanical characterization of zeolite low dielectric constant thin films by nanoindentation. Thin Solid Films, 515, 3164-3170.
-
(2007)
Thin Solid Films
, vol.515
, pp. 3164-3170
-
-
Johnson, M.1
Li, Z.2
Wang, J.3
Yan, Y.4
-
145
-
-
54949125725
-
Evidence of large voids in pure-silica-zeolite low-k dielectrics synthesized by spin-on of nanoparticle suspensions
-
Eslava, S., Baklanov, M.R., Neimark, A.V., Iacopi, F., Kirschhock, C.E.A., Maex, K. and Martens, J.A. (2008) Evidence of large voids in pure-silica-zeolite low-k dielectrics synthesized by spin-on of nanoparticle suspensions. Adv. Mater., 20, 3110-3116.
-
(2008)
Adv. Mater.
, vol.20
, pp. 3110-3116
-
-
Eslava, S.1
Baklanov, M.R.2
Neimark, A.V.3
Iacopi, F.4
Kirschhock, C.E.A.5
Maex, K.6
Martens, J.A.7
-
146
-
-
33646472112
-
Mechanistic principles of nanoparticle evolution to zeolite crystals
-
Davis, T.M., Drews, T.O., Ramanan, H., He, C., Dong, J., Schnablegger, H., Katsoulakis, M.A., Kokkoli, E., McCormick, A.V., Penn, R.L. and Tsapatsis, M. (2006) Mechanistic principles of nanoparticle evolution to zeolite crystals. Nat. Mater., 5, 400-408.
-
(2006)
Nat. Mater.
, vol.5
, pp. 400-408
-
-
Davis, T.M.1
Drews, T.O.2
Ramanan, H.3
He, C.4
Dong, J.5
Schnablegger, H.6
Katsoulakis, M.A.7
Kokkoli, E.8
McCormick, A.V.9
Penn, R.L.10
Tsapatsis, M.11
-
147
-
-
47349118016
-
MEL-type pure-silica zeolite nanocrystals prepared by an evaporation-assisted two-stage synthesis method as ultra-low-k materials
-
Liu, Y., Sun, M., Lew, C.M., Wang, J. and Yan, Y. (2008) MEL-type pure-silica zeolite nanocrystals prepared by an evaporation-assisted two-stage synthesis method as ultra-low-k materials. Adv. Funct. Mater., 18, 1732-1738.
-
(2008)
Adv. Funct. Mater.
, vol.18
, pp. 1732-1738
-
-
Liu, Y.1
Sun, M.2
Lew, C.M.3
Wang, J.4
Yan, Y.5
-
148
-
-
77955381387
-
Comment on 'MEL-type pure-silica zeolite nanocrystals prepared by an evaporation- assisted two-stage synthesis method as ultra-low-k materials'
-
Eslava, S., Seo, J.W., Kirschhock, C.E.A., Baklanov, M.R., Maex, K. and Martens, J.A. (2010) Comment on 'MEL-type pure-silica zeolite nanocrystals prepared by an evaporation- assisted two-stage synthesis method as ultra-low-k materials'. Adv. Funct. Mater., 20, 2377-2379.
-
(2010)
Adv. Funct. Mater.
, vol.20
, pp. 2377-2379
-
-
Eslava, S.1
Seo, J.W.2
Kirschhock, C.E.A.3
Baklanov, M.R.4
Maex, K.5
Martens, J.A.6
-
149
-
-
70349946979
-
On-wafer crystallization of ultralow-k pure silica zeolite films
-
S4777/4771
-
Liu, Y., Lew, C.M., Sun, M., Cai, R., Wang, J., Kloster, G., Boyanov, B. and Yan, Y. (2009) On-wafer crystallization of ultralow-k pure silica zeolite films. Angew. Chem., Int. Ed., 48, 4777-4780, S4777/4771.
-
(2009)
Angew. Chem., Int. Ed.
, vol.48
, pp. 4777-4780
-
-
Liu, Y.1
Lew, C.M.2
Sun, M.3
Cai, R.4
Wang, J.5
Kloster, G.6
Boyanov, B.7
Yan, Y.8
-
150
-
-
34547679622
-
Ultraviolet-assisted curing of polycrystalline pure-silica zeolites: hydrophobization, functionalization, and cross-linking of grains
-
Eslava, S., Iacopi, F., Baklanov, M.R., Kirschhock, C.E.A., Maex, K. and Martens, J.A. (2007) Ultraviolet-assisted curing of polycrystalline pure-silica zeolites: hydrophobization, functionalization, and cross-linking of grains. J. Am. Chem. Soc., 129, 9288-9289.
-
(2007)
J. Am. Chem. Soc.
, vol.129
, pp. 9288-9289
-
-
Eslava, S.1
Iacopi, F.2
Baklanov, M.R.3
Kirschhock, C.E.A.4
Maex, K.5
Martens, J.A.6
-
151
-
-
68349099027
-
Young's modulus enhancement of mesoporous pure-silica-zeolite low-dielectric-constant films by ultraviolet and silylation treatments
-
Yoshino, T., Ohnuki, N., Hata, N. and Seino, Y. (2009) Young's modulus enhancement of mesoporous pure-silica-zeolite low-dielectric-constant films by ultraviolet and silylation treatments. Jpn. J. Appl. Phys., 48, 050210/050211-050210/050213.
-
(2009)
Jpn. J. Appl. Phys.
, vol.48
-
-
Yoshino, T.1
Ohnuki, N.2
Hata, N.3
Seino, Y.4
-
152
-
-
18244372435
-
Organic-functionalized pure-silica-zeolite MFI low-k films
-
Li, S., Li, Z., Medina, D., Lew, C. and Yan, Y. (2005) Organic-functionalized pure-silica-zeolite MFI low-k films. Chem. Mater., 17, 1851-1854.
-
(2005)
Chem. Mater.
, vol.17
, pp. 1851-1854
-
-
Li, S.1
Li, Z.2
Medina, D.3
Lew, C.4
Yan, Y.5
-
153
-
-
55849083877
-
Pure-silica-zeolite MFI and MEL low-dielectric-constant films with fluoroorganic functionalization
-
Lew, C.M., Li, Z., Li, S., Hwang, S.-J., Liu, Y., Medina, D.I., Sun, M., Wang, J., Davis, M.E. and Yan, Y. (2008) Pure-silica-zeolite MFI and MEL low-dielectric-constant films with fluoroorganic functionalization. Adv. Funct. Mater., 18, 3454-3460.
-
(2008)
Adv. Funct. Mater.
, vol.18
, pp. 3454-3460
-
-
Lew, C.M.1
Li, Z.2
Li, S.3
Hwang, S.-J.4
Liu, Y.5
Medina, D.I.6
Sun, M.7
Wang, J.8
Davis, M.E.9
Yan, Y.10
-
154
-
-
55849121526
-
Single step preparation of novel hydrophobic composite films for low-k applications
-
Zhu, Y., Mueller, T.E. and Lercher, J.A. (2008) Single step preparation of novel hydrophobic composite films for low-k applications. Adv. Funct. Mater., 18, 3427-3433.
-
(2008)
Adv. Funct. Mater.
, vol.18
, pp. 3427-3433
-
-
Zhu, Y.1
Mueller, T.E.2
Lercher, J.A.3
-
155
-
-
67849092849
-
Zeolite-inspired low-k dielectrics overcoming limitations of zeolite films
-
Eslava, S., Urrutia, J., Busawon, A.N., Baklanov, M.R., Iacopi, F., Aldea, S., Maex, K., Martens, J.A. and Kirschhock, C.E.A. (2008) Zeolite-inspired low-k dielectrics overcoming limitations of zeolite films. J. Am. Chem. Soc., 130, 17528-17536.
-
(2008)
J. Am. Chem. Soc.
, vol.130
, pp. 17528-17536
-
-
Eslava, S.1
Urrutia, J.2
Busawon, A.N.3
Baklanov, M.R.4
Iacopi, F.5
Aldea, S.6
Maex, K.7
Martens, J.A.8
Kirschhock, C.E.A.9
-
156
-
-
0001567739
-
Bridged bisimide polysilsesquioxane xerogels: new hybrid organic-inorganic materials
-
Hobson, S.T. and Shea, K.J. (1997) Bridged bisimide polysilsesquioxane xerogels: new hybrid organic-inorganic materials. Chem. Mater., 9, 616-623.
-
(1997)
Chem. Mater.
, vol.9
, pp. 616-623
-
-
Hobson, S.T.1
Shea, K.J.2
-
157
-
-
0022188542
-
Ceramers: hybrid materials incorporating polymeric/oligomeric species with inorganic glasses by a sol-gel process
-
Huang, H.H., Orler, B. and Wilkes, G.L. (1985) Ceramers: hybrid materials incorporating polymeric/oligomeric species with inorganic glasses by a sol-gel process. 2. Effect of acid content on the final properties. Polym. Bull., 14, 557-564.
-
(1985)
2. Effect of acid content on the final properties. Polym. Bull.
, vol.14
, pp. 557-564
-
-
Huang, H.H.1
Orler, B.2
Wilkes, G.L.3
-
158
-
-
0001082306
-
Structure-property behavior of new hybrid materials incorporating oligomeric species into sol-gel glasses
-
Huang, H.H., Orler, B. and Wilkes, G.L. (1987) Structure-property behavior of new hybrid materials incorporating oligomeric species into sol-gel glasses. 3. Effect of acid content, tetraethoxysilane content, and molecular weight of poly(dimethylsiloxane). Macromolecules, 20, 1322-1330.
-
(1987)
3. Effect of acid content, tetraethoxysilane content, and molecular weight of poly(dimethylsiloxane). Macromolecules
, vol.20
, pp. 1322-1330
-
-
Huang, H.H.1
Orler, B.2
Wilkes, G.L.3
-
159
-
-
0032182230
-
Inorganic/organic hybrid materials
-
Sharp, K.G. (1998) Inorganic/organic hybrid materials. Adv. Mater., 10, 1243-1248.
-
(1998)
Adv. Mater.
, vol.10
, pp. 1243-1248
-
-
Sharp, K.G.1
-
160
-
-
0001222706
-
Organic/inorganic hybrid network materials by the sol-gel approach
-
Wen, J. and Wilkes, G.L. (1996) Organic/inorganic hybrid network materials by the sol-gel approach. Chem. Mater., 8, 1667-1681.
-
(1996)
Chem. Mater.
, vol.8
, pp. 1667-1681
-
-
Wen, J.1
Wilkes, G.L.2
-
163
-
-
28244472758
-
New spin-on oxycarbosilane low-k dielectric materials with exceptional mechanical propertie
-
Dubois, G., Magbitang, T., Volksen, W., Simonyi, E. and Miller, R.D. (2005) New spin-on oxycarbosilane low-k dielectric materials with exceptional mechanical properties. Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June 2005, pp. 226-228.
-
(2005)
Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, California, 5-7 June
, vol.2005
-
-
Dubois, G.1
Magbitang, T.2
Volksen, W.3
Simonyi, E.4
Miller, R.D.5
-
164
-
-
36549062079
-
Molecular network reinforcement of sol-gel glasses
-
Dubois, G., Volksen, W., Magbitang, T., Miller, R.D., Gage, D.M. and Dauskardt, R.H. (2007) Molecular network reinforcement of sol-gel glasses. Adv. Mater., 19, 3989-3994.
-
(2007)
Adv. Mater.
, vol.19
, pp. 3989-3994
-
-
Dubois, G.1
Volksen, W.2
Magbitang, T.3
Miller, R.D.4
Gage, D.M.5
Dauskardt, R.H.6
-
165
-
-
51949105414
-
Superior mechanical properties of dense and porous organic/inorganic hybrid thin films
-
Dubois, G., Volksen, W., Magbitang, T., Sherwood, M.H., Miller, R.D., Gage, D.M. and Dauskardt, R.H. (2008) Superior mechanical properties of dense and porous organic/inorganic hybrid thin films. J. Sol-Gel Sci. Technol., 48, 187-193.
-
(2008)
J. Sol-Gel Sci. Technol.
, vol.48
, pp. 187-193
-
-
Dubois, G.1
Volksen, W.2
Magbitang, T.3
Sherwood, M.H.4
Miller, R.D.5
Gage, D.M.6
Dauskardt, R.H.7
-
166
-
-
57849126742
-
Ultra low-k films derived from hyperbranched polycarbosilanes (HBPCS)
-
Rathore, J.S., Interrante, L.V. and Dubois, G. (2008) Ultra low-k films derived from hyperbranched polycarbosilanes (HBPCS). Adv. Funct. Mater., 18, 4022-4028.
-
(2008)
Adv. Funct. Mater.
, vol.18
, pp. 4022-4028
-
-
Rathore, J.S.1
Interrante, L.V.2
Dubois, G.3
-
167
-
-
28844433312
-
New carbon-bridged hybrid polymers for low-k materials
-
Choi, B.-G., Kim, B.R., Moon, M.-S., Kang, J.-W. and Ko, M.-J. (2005) New carbon-bridged hybrid polymers for low-k materials. Mater. Res. Soc. Symp. Proc., 863, 121-126.
-
(2005)
Mater. Res. Soc. Symp. Proc.
, vol.863
, pp. 121-126
-
-
Choi, B.-G.1
Kim, B.R.2
Moon, M.-S.3
Kang, J.-W.4
Ko, M.-J.5
-
169
-
-
34547350046
-
The effect of porogen on physical properties in MTMS-BTMSE spin-on organosilicates
-
Kim, B.R., Son, J.M. and Ko, M.J. (2007) The effect of porogen on physical properties in MTMS-BTMSE spin-on organosilicates. J. Mater. Sci., 42, 5381-5390.
-
(2007)
J. Mater. Sci.
, vol.42
, pp. 5381-5390
-
-
Kim, B.R.1
Son, J.M.2
Ko, M.J.3
-
170
-
-
33847743399
-
Effect of pore generating materials on the electrical and mechanical properties of porous low-k films
-
Kim, S., Char, K., Hahn, J., Lee, J.-K., Yoon, D.Y., Rhee, H.-W. and Jin, M.Y. (2007) Effect of pore generating materials on the electrical and mechanical properties of porous low-k films. Macromol. Res., 15, 1-4.
-
(2007)
Macromol. Res.
, vol.15
, pp. 1-4
-
-
Kim, S.1
Char, K.2
Hahn, J.3
Lee, J.-K.4
Yoon, D.Y.5
Rhee, H.-W.6
Jin, M.Y.7
-
171
-
-
1842530089
-
Organosilicate spin-on glasses
-
Toivola, Y., Kim, S., Cook, R.F., Char, K., Lee, J.-K., Yoon, D.Y., Rhee, H.-W., Kim, S.Y. and Jin, M.Y. (2004) Organosilicate spin-on glasses. J. Electrochem. Soc., 151, F45-F53.
-
(2004)
J. Electrochem. Soc.
, vol.151
-
-
Toivola, Y.1
Kim, S.2
Cook, R.F.3
Char, K.4
Lee, J.-K.5
Yoon, D.Y.6
Rhee, H.-W.7
Kim, S.Y.8
Jin, M.Y.9
-
172
-
-
34250648953
-
High-modulus spin-on organosilicate glasses for nanoporous applications
-
Ro, H.W., Char, K., Jeon, E,-c., Kim, H.-J., Kwon, D., Lee, H.-J., Lee, J.-K., Rhee, H.-W., Soles, C.L. and Yoon, D.Y. (2007) High-modulus spin-on organosilicate glasses for nanoporous applications. Adv. Mater., 19, 705-710.
-
(2007)
Adv. Mater.
, vol.19
, pp. 705-710
-
-
Ro, H.W.1
Char, K.2
Jeon, E.-c.3
Kim, H.-J.4
Kwon, D.5
Lee, H.-J.6
Lee, J.-K.7
Rhee, H.-W.8
Soles, C.L.9
Yoon, D.Y.10
-
173
-
-
18744409540
-
Spin-coated periodic mesoporous organosilica thin films-towards a new generation of low-dielectricconstant materials
-
Hatton, B.D., Landskron, K., Whitnall, W., Perovic, D.D. and Ozin, G.A. (2005) Spin-coated periodic mesoporous organosilica thin films-towards a new generation of low-dielectricconstant materials. Adv. Funct. Mater., 15, 823-829.
-
(2005)
Adv. Funct. Mater.
, vol.15
, pp. 823-829
-
-
Hatton, B.D.1
Landskron, K.2
Whitnall, W.3
Perovic, D.D.4
Ozin, G.A.5
-
174
-
-
0141924561
-
Periodic mesoporous organosilicas containing interconnected [Si(CH2)]3 rings
-
Landskron, K., Hatton, B.D., Perovic, D.D. and Ozin, G.A. (2003) Periodic mesoporous organosilicas containing interconnected [Si(CH2)]3 rings. Science, 302, 266-269 (2003).
-
(2003)
Science
, vol.302
, pp. 266-269
-
-
Landskron, K.1
Hatton, B.D.2
Perovic, D.D.3
Ozin, G.A.4
-
175
-
-
0034616781
-
Evaporation-induced self-assembly of hybrid bridged silsesquioxane film and particulate mesophases with integral organic functionality
-
Lu, Y., Fan, H., Doke, N., Loy, D.A., Assink, R.A., LaVan, D.A. and Brinker, C.J. (2000) Evaporation-induced self-assembly of hybrid bridged silsesquioxane film and particulate mesophases with integral organic functionality. J. Am. Chem. Soc., 122, 5258-5261.
-
(2000)
J. Am. Chem. Soc.
, vol.122
, pp. 5258-5261
-
-
Lu, Y.1
Fan, H.2
Doke, N.3
Loy, D.A.4
Assink, R.A.5
LaVan, D.A.6
Brinker, C.J.7
-
176
-
-
77956916668
-
Molecular origins of the mechanical behavior of hybrid glasses
-
Oliver, M.S., Dubois, G., Sherwood, M., Gage, D.M. and Dauskardt, R.H. (2010) Molecular origins of the mechanical behavior of hybrid glasses. Adv. Funct. Mater., 20, 2884-2892.
-
(2010)
Adv. Funct. Mater.
, vol.20
, pp. 2884-2892
-
-
Oliver, M.S.1
Dubois, G.2
Sherwood, M.3
Gage, D.M.4
Dauskardt, R.H.5
-
177
-
-
69549086541
-
Adjusting the skeleton and pore structure of porous SiCOH dielectrics
-
Gates, S.M., Dubois, G., Ryan, E.T., Grill, A., Liu, M. and Gidley, D. (2009) Adjusting the skeleton and pore structure of porous SiCOH dielectrics. J. Electrochem. Soc., 156, G156-G162.
-
(2009)
J. Electrochem. Soc.
, vol.156
-
-
Gates, S.M.1
Dubois, G.2
Ryan, E.T.3
Grill, A.4
Liu, M.5
Gidley, D.6
-
178
-
-
70349914048
-
Integration compatible porous SiCOH dielectrics from 45 to 22 nm
-
Gates, S.M., Grill, A., Dimitrakopoulos, C., Patel, V., Chen, S.T., Spooner, T., Ryan, E.T., Cohen, S.A., Simonyi, E., Liniger, E., Ostrovski, Y. and Bhatia, R. (2009) Integration compatible porous SiCOH dielectrics from 45 to 22 nm. Proceedings of the Advances in Metals Conference 2008, pp. 531-536.
-
(2009)
Proceedings of the Advances in Metals Conference
, vol.2008
-
-
Gates, S.M.1
Grill, A.2
Dimitrakopoulos, C.3
Patel, V.4
Chen, S.T.5
Spooner, T.6
Ryan, E.T.7
Cohen, S.A.8
Simonyi, E.9
Liniger, E.10
Ostrovski, Y.11
Bhatia, R.12
-
179
-
-
56349169512
-
Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage
-
Ryan, E.T., Gates, S.M., Grill, A., Molis, S., Flaitz, P., Arnold, J., Sankarapandian, M., Cohen, S.A., Ostrovski, Y. and Dimitrakopoulos, C. (2008) Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage. J. Appl. Phys., 104, 094109/094101-094109/094107.
-
(2008)
J. Appl. Phys.
, vol.104
-
-
Ryan, E.T.1
Gates, S.M.2
Grill, A.3
Molis, S.4
Flaitz, P.5
Arnold, J.6
Sankarapandian, M.7
Cohen, S.A.8
Ostrovski, Y.9
Dimitrakopoulos, C.10
-
180
-
-
79959935822
-
Application of the protection/deprotection strategy to the science of porous materials, Adv
-
Frot, T., Volksen, W., Purushothaman, S., Bruce, R.L., and Dubois, G. (2011) Application of the protection/deprotection strategy to the science of porous materials, Adv. Mater., 23, 2828.
-
(2011)
Mater.
, vol.23
, pp. 2828
-
-
Frot, T.1
Volksen, W.2
Purushothaman, S.3
Bruce, R.L.4
Dubois, G.5
|