-
1
-
-
84992005654
-
-
R.C. Jaeger, C. Richard, Lithography. Introduction to Microelectronic Fabrication, 2nd edn. (Prentice Hall, Upper Saddle River, 2002), p. 315
-
R.C. Jaeger, C. Richard, Lithography. Introduction to Microelectronic Fabrication, 2nd edn. (Prentice Hall, Upper Saddle River, 2002), p. 315. ISBN 0-201-44494-1
-
-
-
-
2
-
-
84991987167
-
-
M. Madou, Manufacturing Techniques for Microfabrication and Nanotechnology, 3rd edn. (CRC Press, Taylor & Francis, Boca Rayton, FL)
-
M. Madou, Manufacturing Techniques for Microfabrication and Nanotechnology, 3rd edn. (CRC Press, Taylor & Francis, Boca Rayton, FL). ISBN 978-1-4200-5519-1
-
-
-
-
3
-
-
0022717983
-
Fabrication of microstructures with high aspect ratios and great structural heights by synchrotron radiation lithography, galvanoforming, and plastic moulding (LIGA process)
-
E.W. Becker, W. Ehrfeld, P. Hagmann, A. Maner, D. Münchmeyer, Fabrication of microstructures with high aspect ratios and great structural heights by synchrotron radiation lithography, galvanoforming, and plastic moulding (LIGA process). Microelectron. Eng. 4(1), 35–56 (1986)
-
(1986)
Microelectron. Eng.
, vol.4
, Issue.1
, pp. 35-56
-
-
Becker, E.W.1
Ehrfeld, W.2
Hagmann, P.3
Maner, A.4
Münchmeyer, D.5
-
4
-
-
0004222832
-
-
Wiley-VCH, Weinheim
-
W. Menz, J. Mohr, O. Paul, Microsystem Technology, 2nd edn. (Wiley-VCH, Weinheim, 2008). ISBN: 978-3-527-61301-4
-
(2008)
Microsystem Technology
-
-
Menz, W.1
Mohr, J.2
Paul, O.3
-
5
-
-
84865600316
-
Thermal nanoimprint resist for the fabrication of high-aspect-ratio patterns
-
M. Messerschmidt, A. Schleunitz, C. Spreu, T. Werner, M. Vogler, F. Reuther, A. Bertz, H. Schift, G. Grützner, Thermal nanoimprint resist for the fabrication of high-aspect-ratio patterns. Microelectron. Eng. 98(8), 107–111 (2012)
-
(2012)
Microelectron. Eng.
, vol.98
, Issue.8
, pp. 107-111
-
-
Messerschmidt, M.1
Schleunitz, A.2
Spreu, C.3
Werner, T.4
Vogler, M.5
Reuther, F.6
Bertz, A.7
Schift, H.8
Grützner, G.9
-
6
-
-
77954416817
-
Direct e-beam writing of dense and high aspect ratio nanostructures in thick layers of PMMA for electroplating
-
S. Gorelick, V.A. Guzenko, J. Vila-Comamala, C. David, Direct e-beam writing of dense and high aspect ratio nanostructures in thick layers of PMMA for electroplating. Nanotechnology 21, 295303 (2010)
-
(2010)
Nanotechnology
, vol.21
, pp. 295303
-
-
Gorelick, S.1
Guzenko, V.A.2
Vila-Comamala, J.3
David, C.4
-
7
-
-
79960052137
-
Fabrication of 3-D patterns with vertical and sloped sidewalls by grayscale electron-beam lithography and thermal annealing
-
A. Schleunitz, H. Schift, Fabrication of 3-D patterns with vertical and sloped sidewalls by grayscale electron-beam lithography and thermal annealing. Microelectron. Eng. 88(8), 2736–2739 (2011)
-
(2011)
Microelectron. Eng.
, vol.88
, Issue.8
, pp. 2736-2739
-
-
Schleunitz, A.1
Schift, H.2
-
8
-
-
84858425954
-
Tapered gold-helix metamaterials as improved circular polarizers
-
J.K. Gansel, M. Latzel, A. Frölich, J. Kaschke, M. Thiel, M. Wegener, Tapered gold-helix metamaterials as improved circular polarizers. Appl. Phys. Lett. 100, 101109 (2012)
-
(2012)
Appl. Phys. Lett.
, vol.100
, pp. 101109
-
-
Gansel, J.K.1
Latzel, M.2
Frölich, A.3
Kaschke, J.4
Thiel, M.5
Wegener, M.6
-
9
-
-
70249086976
-
Fine pattern fabrication by the molded mask method (nanoimprint lithography) in the 1970s
-
S. Fujimori, Fine pattern fabrication by the molded mask method (nanoimprint lithography) in the 1970s. Jpn. J. Appl. Phys. 48, 06FH01 (2009)
-
(2009)
Jpn. J. Appl. Phys.
, vol.48
, pp. 06FH01
-
-
Fujimori, S.1
-
10
-
-
0142037327
-
Imprint of sub-25 nm vias and trenches in polymers
-
S.Y. Chou, P.R. Krauss, P.J. Renstrom, Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett. 67(21), 3114–3116 (1995)
-
(1995)
Appl. Phys. Lett.
, vol.67
, Issue.21
, pp. 3114-3116
-
-
Chou, S.Y.1
Krauss, P.R.2
Renstrom, P.J.3
-
11
-
-
5344268855
-
Mold-assisted lithography: a process for reliable pattern transfer
-
J. Haisma, M. Verheijen, K. van den Heuvel, J. van den Berg, Mold-assisted lithography: a process for reliable pattern transfer. J. Vac. Sci. Technol. B 14, 4124–4128 (1996)
-
(1996)
J. Vac. Sci. Technol. B
, vol.14
, pp. 4124-4128
-
-
Haisma, J.1
Verheijen, M.2
van den Heuvel, K.3
van den Berg, J.4
-
12
-
-
0031685664
-
Hot embossing in polymers as a direct way to pattern resist
-
R.W. Jaszewski, H. Schift, J. Gobrecht, P. Smith, Hot embossing in polymers as a direct way to pattern resist. Microelectron. Eng. 41(42), 575–578 (1998)
-
(1998)
Microelectron. Eng.
, vol.41
, Issue.42
, pp. 575-578
-
-
Jaszewski, R.W.1
Schift, H.2
Gobrecht, J.3
Smith, P.4
-
13
-
-
0032625408
-
-
M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J.G. Ekerdt, C.G. Willson, Step and flash imprint lithography: a new approach to high resolution patterning, in Proceedings of the SPIE 3676, (1999), pp. 379–385
-
(1999)
Step and flash imprint lithography: a new approach to high resolution patterning, in Proceedings of the SPIE
, vol.3676
, pp. 379-385
-
-
Colburn, M.1
Johnson, S.2
Stewart, M.3
Damle, S.4
Bailey, T.5
Choi, B.6
Wedlake, M.7
Michaelson, T.8
Sreenivasan, S.V.9
Ekerdt, J.G.10
Willson, C.G.11
-
14
-
-
0034315130
-
Nanoimprint lithography at the 6 in. wafer scale
-
B. Heidari, Nanoimprint lithography at the 6 in. wafer scale. J. Vac. Sci. Technol. B 18, 3557–3560 (2000)
-
(2000)
J. Vac. Sci. Technol. B
, vol.18
, pp. 3557-3560
-
-
Heidari, B.1
-
15
-
-
84929623449
-
-
W. Zhou, Nanoimprint Lithography: An enabling process for nanofabrication (Springer-Verlag Berlin Heidelberg, 2013), pp. 256: 978-3-642-34427-5
-
W. Zhou, Nanoimprint Lithography: An enabling process for nanofabrication (Springer-Verlag Berlin Heidelberg, 2013), pp. 256. ISBN: 978-3-642-34427-5
-
-
-
-
16
-
-
0036643642
-
Improving stamps for 10 nm level wafer scale nanoimprint lithography
-
M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T.G.I. Ling, M. Keil, L. Montelius, Improving stamps for 10 nm level wafer scale nanoimprint lithography. Microelectron. Eng. 61–62, 441–448 (2002)
-
(2002)
Microelectron. Eng
, vol.61-62
, pp. 441-448
-
-
Beck, M.1
Graczyk, M.2
Maximov, I.3
Sarwe, E.L.4
Ling, T.G.I.5
Keil, M.6
Montelius, L.7
-
18
-
-
12444274893
-
Step & flash imprint lithography
-
D.J. Resnick, S.V. Sreenivasan, C.G. Willson, Step & flash imprint lithography. Mater. Today 8(2), 34–42 (2005)
-
(2005)
Mater. Today
, vol.8
, Issue.2
, pp. 34-42
-
-
Resnick, D.J.1
Sreenivasan, S.V.2
Willson, C.G.3
-
19
-
-
2942558559
-
Recent progress in nanoimprint technology and its applications
-
L.J. Guo, Recent progress in nanoimprint technology and its applications. J. Phys. D Appl. Phys. 37, R123–R141 (2004)
-
(2004)
J. Phys. D Appl. Phys.
, vol.37
, pp. R123-R141
-
-
Guo, L.J.1
-
20
-
-
34250642011
-
Nanoimprint lithography: methods and material requirement
-
L.J. Guo, Nanoimprint lithography: methods and material requirement. Adv. Mater. 19, 495–513 (2007)
-
(2007)
Adv. Mater.
, vol.19
, pp. 495-513
-
-
Guo, L.J.1
-
21
-
-
0034508819
-
Flow behaviour of thin polymer films used for hot embossing lithography
-
L.J. Heyderman, H. Schift, C. David, J. Gobrecht, T. Schweizer, Flow behaviour of thin polymer films used for hot embossing lithography. Microelectron. Eng. 54, 229–245 (2000)
-
(2000)
Microelectron. Eng.
, vol.54
, pp. 229-245
-
-
Heyderman, L.J.1
Schift, H.2
David, C.3
Gobrecht, J.4
Schweizer, T.5
-
22
-
-
0035422490
-
A contribution to the flow behaviour of thin polymer films during hot embossing lithography
-
H.-C. Scheer, H. Schulz, A contribution to the flow behaviour of thin polymer films during hot embossing lithography. Microelectron. Eng. 56(3–4), 311–332 (2001)
-
(2001)
Microelectron. Eng.
, vol.56
, Issue.3-4
, pp. 311-332
-
-
Scheer, H.-C.1
Schulz, H.2
-
23
-
-
84991985497
-
-
H. Schift, L.J. Heyderman, Chapter (4), in Alternative Lithography—Unleashing the Potential of Nanotechnology. Book Series on Nanostructure Science and Technology, ed. by C. Sotomayor Torres, D.J. Lockwood (Kluwer Academic/Plenum Publishers, 2003), p. 46
-
H. Schift, L.J. Heyderman, Chapter (4), in Alternative Lithography—Unleashing the Potential of Nanotechnology. Book Series on Nanostructure Science and Technology, ed. by C. Sotomayor Torres, D.J. Lockwood (Kluwer Academic/Plenum Publishers, 2003), p. 46. ISBN 0-306-47858-7
-
-
-
-
25
-
-
84991950754
-
Roll embossing and roller imprint, Chapter in Science and new technology in nanoimprint, in Advanced Technology and Application of Nanoimprint, ed. by Y. Hirai. Frontier Publishing Co., Ltd., Japan, ISBN 4-902410-09-5, June 2006, pp. 74–89
-
H. Schift, Roll embossing and roller imprint, Chapter in Science and new technology in nanoimprint, in Advanced Technology and Application of Nanoimprint, ed. by Y. Hirai. Frontier Publishing Co., Ltd., Japan, ISBN 4-902410-09-5, June 2006, pp. 74–89, and Japanese translation (extract) 90–93 (2006)
-
(2006)
and Japanese translation (extract) 90–93
-
-
Schift, H.1
-
26
-
-
33646066853
-
Surface structuring of textile fibers using roll embossing
-
H. Schift, M. Halbeisen, U. Schütz, B. Delahoche, J. Gobrecht, Surface structuring of textile fibers using roll embossing. Microelectron. Eng. 83, 855–858 (2006)
-
(2006)
Microelectron. Eng.
, vol.83
, pp. 855-858
-
-
Schift, H.1
Halbeisen, M.2
Schütz, U.3
Delahoche, B.4
Gobrecht, J.5
-
27
-
-
69549086726
-
Large-area roll-to-roll and roll-to-plate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting
-
S.H. Ahn, L.J. Guo, Large-area roll-to-roll and roll-to-plate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting. ACS Nano 3(8), 2304–2310 (2009)
-
(2009)
ACS Nano
, vol.3
, Issue.8
, pp. 2304-2310
-
-
Ahn, S.H.1
Guo, L.J.2
-
28
-
-
79960035415
-
Roll-to-roll printed gratings in cellulose acetate web using novel nanoimprinting device
-
T. Mäkelä, T. Haatainen, J. Ahopelto, Roll-to-roll printed gratings in cellulose acetate web using novel nanoimprinting device. Microelectron. Eng. 88, 2045–2047 (2011)
-
(2011)
Microelectron. Eng.
, vol.88
, pp. 2045-2047
-
-
Mäkelä, T.1
Haatainen, T.2
Ahopelto, J.3
-
29
-
-
84903958406
-
A review of roll-to-roll nanoimprint lithography
-
N. Kooy, K. Mohamed, L.T. Pin, O.S. Guan, A review of roll-to-roll nanoimprint lithography. Nanoscale Res. Lett. 9, 320 (2014)
-
(2014)
Nanoscale Res. Lett.
, vol.9
, pp. 320
-
-
Kooy, N.1
Mohamed, K.2
Pin, L.T.3
Guan, O.S.4
-
30
-
-
41549124541
-
Nanoimprint lithography: an old story in modern times? A review
-
H. Schift, Nanoimprint lithography: an old story in modern times? A review. J. Vac. Sci. Technol. B 26(2), 458–480 (2008)
-
(2008)
J. Vac. Sci. Technol. B
, vol.26
, Issue.2
, pp. 458-480
-
-
Schift, H.1
-
31
-
-
79960063699
-
Hybrid working stamps for high speed roll-to-roll nanoreplication with molded sol–gel relief on a metal backbone
-
A. Schleunitz, C. Spreu, T. Mäkelä, T. Haatainen, A. Klukowska, H. Schift, Hybrid working stamps for high speed roll-to-roll nanoreplication with molded sol–gel relief on a metal backbone. Microelectron. Eng. 88(8), 2113–2116 (2011)
-
(2011)
Microelectron. Eng.
, vol.88
, Issue.8
, pp. 2113-2116
-
-
Schleunitz, A.1
Spreu, C.2
Mäkelä, T.3
Haatainen, T.4
Klukowska, A.5
Schift, H.6
-
32
-
-
44149118908
-
Stamp deformation and its influence on residual layer homogeneity in thermal nanoimprint lithography
-
S. Merino, A. Retolaza, H. Schift, V. Trabadelo, Stamp deformation and its influence on residual layer homogeneity in thermal nanoimprint lithography. Microelectron. Eng. 85, 877–880 (2008)
-
(2008)
Microelectron. Eng.
, vol.85
, pp. 877-880
-
-
Merino, S.1
Retolaza, A.2
Schift, H.3
Trabadelo, V.4
-
33
-
-
33646045618
-
Perforated polymer membranes fabricated by nanoimprint lithography
-
H. Schift, S. Bellini, J. Gobrecht, Perforated polymer membranes fabricated by nanoimprint lithography. Microelectron. Eng. 83, 873–875 (2006)
-
(2006)
Microelectron. Eng.
, vol.83
, pp. 873-875
-
-
Schift, H.1
Bellini, S.2
Gobrecht, J.3
-
34
-
-
84991993732
-
-
H. Schift, A. Kristensen, Nanoimprint lithography—patterning resists using molding, in Chapter (Part A/9) in Handbook of Nanotechnology, 3rd edn. ed. by B. Bhushan, (Springer, Berlin, 2010), pp. 271–312. 978-3-642-02524-2, XLVIII, with DVD
-
H. Schift, A. Kristensen, Nanoimprint lithography—patterning resists using molding, in Chapter (Part A/9) in Handbook of Nanotechnology, 3rd edn. ed. by B. Bhushan, (Springer, Berlin, 2010), pp. 271–312. ISBN: 978-3-642-02524-2, XLVIII, with DVD
-
-
-
-
35
-
-
84907245275
-
Nanoimprint lithography and micro-embossing in LiGA technology: similarities and differences
-
H. Schift, Nanoimprint lithography and micro-embossing in LiGA technology: similarities and differences. J. Microsyst. Technol. (2013). doi:10.1007/s00542-013-1915-8
-
(2013)
J. Microsyst. Technol.
-
-
Schift, H.1
-
36
-
-
84905842077
-
Nanoimprint lithography process chains for the fabrication of micro- and nanodevices
-
H. Schift, P. Urwyler, P.M. Kristiansen, J. Gobrecht, Nanoimprint lithography process chains for the fabrication of micro- and nanodevices. J. Micro/Nanolithogr. MEMS MOEMS 13(3), 031303 (2014)
-
(2014)
J. Micro/Nanolithogr. MEMS MOEMS
, vol.13
, Issue.3
, pp. 031303
-
-
Schift, H.1
Urwyler, P.2
Kristiansen, P.M.3
Gobrecht, J.4
-
37
-
-
85051837089
-
Chapter 3, 59–85, in Three-Dimensional Nanoarchitectures: Designing Next-Generation Devices, ed. by W. Zhou, Z.L. Wang (Springer Science & Business Media
-
X. Cheng, Building 3D micro- and nano-structures through nanoimprint, Chapter 3, 59–85, in Three-Dimensional Nanoarchitectures: Designing Next-Generation Devices, ed. by W. Zhou, Z.L. Wang (Springer Science & Business Media, New York, 2011), 556 p
-
New York
, vol.2011
, pp. 556
-
-
Cheng, X.1
3D micro-, B.2
nanoimprint, -S.S.3
-
38
-
-
0031348356
-
Replication techniques for diffractive optical elements
-
M.T. Gale, Replication techniques for diffractive optical elements. Microelectron. Eng. 34, 321–339 (1997)
-
(1997)
Microelectron. Eng.
, vol.34
, pp. 321-339
-
-
Gale, M.T.1
-
39
-
-
84991964246
-
Schift, published by the NaPa-consortium, 3rd edn. (2014)
-
NaPa library of processes—nanopatterning and applications, ed. H. Schift, published by the NaPa-consortium, 3rd edn. (2014). (12 Dec 2014). http://www.psi.ch/lmn/helmut-schift
-
(2014)
(12
-
-
-
40
-
-
0034207492
-
Nanoreplication in polymers using hot embossing and injection molding
-
H. Schift, C. David, M. Gabriel, J. Gobrecht, L.J. Heyderman, W. Kaiser, S. Köppel, L. Scandella, Nanoreplication in polymers using hot embossing and injection molding. Microelectron. Eng. 53, 171–174 (2000)
-
(2000)
Microelectron. Eng.
, vol.53
, pp. 171-174
-
-
Schift, H.1
David, C.2
Gabriel, M.3
Gobrecht, J.4
Heyderman, L.J.5
Kaiser, W.6
Köppel, S.7
Scandella, L.8
-
42
-
-
0035465563
-
Printing meets lithography: soft approaches to high-resolution patterning
-
B. Michel, A. Bernard, A. Bietsch, E. Delamarche, M. Geissler, D. Juncker, H. Kind, J.-P. Renault, H. Rothuizen, H. Schmid, P. Schmidt-Winkel, R. Stutz, H. Wolf, Printing meets lithography: soft approaches to high-resolution patterning. IBM J. Res. Dev. 45(5), 697–719 (2001)
-
(2001)
IBM J. Res. Dev.
, vol.45
, Issue.5
, pp. 697-719
-
-
Michel, B.1
Bernard, A.2
Bietsch, A.3
Delamarche, E.4
Geissler, M.5
Juncker, D.6
Kind, H.7
Renault, J.-P.8
Rothuizen, H.9
Schmid, H.10
Schmidt-Winkel, P.11
Stutz, R.12
Wolf, H.13
-
43
-
-
0035450037
-
Nanofabrication using hot embossing lithography and electroforming
-
L.J. Heyderman, H. Schift, C. David, B. Ketterer, M. Auf der Maur, J. Gobrecht, Nanofabrication using hot embossing lithography and electroforming. Microelectron. Eng. 57–58, 375–380 (2001)
-
(2001)
Microelectron. Eng.
, vol.57-58
, pp. 375-380
-
-
Heyderman, L.J.1
Schift, H.2
David, C.3
Ketterer, B.4
Auf der Maur, M.5
Gobrecht, J.6
-
44
-
-
0038697353
-
High volume fabrication of customised nanopore membrane chips
-
L.J. Heyderman, B. Ketterer, D. Bächle, F. Glaus, B. Haas, H. Schift, K. Vogelsang, J. Gobrecht, L. Tiefenauer, O. Dubochet, P. Surbled, T. Hessler, High volume fabrication of customised nanopore membrane chips. Microelectronic Eng. 67–68, 208–213 (2003)
-
(2003)
Microelectronic Eng.
, vol.67-68
, pp. 208-213
-
-
Heyderman, L.J.1
Ketterer, B.2
Bächle, D.3
Glaus, F.4
Haas, B.5
Schift, H.6
Vogelsang, K.7
Gobrecht, J.8
Tiefenauer, L.9
Dubochet, O.10
Surbled, P.11
Hessler, T.12
-
45
-
-
0007089317
-
Electron beam writing of continuous resist profiles for optical applications
-
J.M. Stauffer, Y. Oppliger, P. Regnault, L. Baraldi, M.T. Gale, Electron beam writing of continuous resist profiles for optical applications. J. Vac. Soc. Technol. B 10, 2526–2529 (1992)
-
(1992)
J. Vac. Soc. Technol. B
, vol.10
, pp. 2526-2529
-
-
Stauffer, J.M.1
Oppliger, Y.2
Regnault, P.3
Baraldi, L.4
Gale, M.T.5
-
46
-
-
1942444270
-
-
M.T. Gale, M. Rossi, H. Schuetz, Fabrication of continuous-relief micro-optical elements by direct laser writing in photoresist, laser-assisted fabrication of thin films and microstructures, in Proceedings of the SPIE 2045, (1994), pp. 54–62
-
(1994)
Fabrication of continuous-relief micro-optical elements by direct laser writing in photoresist, laser-assisted fabrication of thin films and microstructures, in Proceedings of the SPIE
, vol.2045
, pp. 54-62
-
-
Gale, M.T.1
Rossi, M.2
Schuetz, H.3
-
47
-
-
0033697655
-
Effects of molecular properties on nanolithography in polymethyl methacrylate
-
E.A. Dobisz, S.L. Brandow, R. Bass, J. Mitterender, Effects of molecular properties on nanolithography in polymethyl methacrylate. J. Vac. Sci. Technol. B 18, 107–111 (2000)
-
(2000)
J. Vac. Sci. Technol. B
, vol.18
, pp. 107-111
-
-
Dobisz, E.A.1
Brandow, S.L.2
Bass, R.3
Mitterender, J.4
-
48
-
-
34247570476
-
3D structures for UV-NIL template fabrication with greyscale e-beam lithography
-
G. Piaszenski, U. Barth, A. Rudzinski, A. Rampe, A. Fuchs, M. Bender, U. Plachetka, 3D structures for UV-NIL template fabrication with greyscale e-beam lithography. Microelectron. Eng. 84, 945–948 (2007)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 945-948
-
-
Piaszenski, G.1
Barth, U.2
Rudzinski, A.3
Rampe, A.4
Fuchs, A.5
Bender, M.6
Plachetka, U.7
-
49
-
-
84991965943
-
-
GenISys beamer electron-beam lithography software
-
GenISys beamer electron-beam lithography software, http://genisys-gmbh.com/web/products/beamer.html
-
-
-
-
50
-
-
1642288753
-
-
H.-B. Sun, S. Kawata, Two-photon photopolymerization and 3D lithographic microfabrication, in NMR - 3D Analysis - Photopolymerization. Advances in Polymer Science, vol 170 (Springer Berlin Heidelberg, 2004), pp. 169–273. 978-3-540-20510-4 (Print) 978-3-540-40000-4 (Online)
-
H.-B. Sun, S. Kawata, Two-photon photopolymerization and 3D lithographic microfabrication, in NMR - 3D Analysis - Photopolymerization. Advances in Polymer Science, vol 170 (Springer Berlin Heidelberg, 2004), pp. 169–273. ISBN: 978-3-540-20510-4 (Print) 978-3-540-40000-4 (Online)
-
-
-
-
51
-
-
84889002126
-
-
F. Holzner, P. Paul, M. Despont. L.L. Cheong, J. Hedrick, U. Dürig, A. Knoll, Thermal probe nanolithography: in situ inspection, high-speed, high-resolution, 3D, in Proceedings of the SPIE 8886, (2013), p. 888605
-
F. Holzner, P. Paul, M. Despont. L.L. Cheong, J. Hedrick, U. Dürig, A. Knoll, Thermal probe nanolithography: in situ inspection, high-speed, high-resolution, 3D, in Proceedings of the SPIE 8886, (2013), p. 888605
-
-
-
-
52
-
-
77952154268
-
Nanoscale three-dimensional patterning of molecular resists by scanning probes
-
D. Pires, J.L. Hedrick, A. De Silva, J. Frommer, B. Gotsmann, H. Wolf, M. Despont, U. Duerig, A.W. Knoll, Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science 328, 732–735 (2010)
-
(2010)
Science
, vol.328
, pp. 732-735
-
-
Pires, D.1
Hedrick, J.L.2
De Silva, A.3
Frommer, J.4
Gotsmann, B.5
Wolf, H.6
Despont, M.7
Duerig, U.8
Knoll, A.W.9
-
53
-
-
84905730863
-
Advanced scanning probe lithography
-
R. Garcia, A.W. Knoll, E. Riedo, Advanced scanning probe lithography. Nat. Nanotechnol. 9(8), 577–587 (2014)
-
(2014)
Nat. Nanotechnol.
, vol.9
, Issue.8
, pp. 577-587
-
-
Garcia, R.1
Knoll, A.W.2
Riedo, E.3
-
54
-
-
0034206360
-
Fabrication of stair-case profiles with high aspect ratios for blazed diffractive optical elements
-
C. David, Fabrication of stair-case profiles with high aspect ratios for blazed diffractive optical elements. Microelectron. Eng. 53, 677–680 (2000)
-
(2000)
Microelectron. Eng.
, vol.53
, pp. 677-680
-
-
David, C.1
-
55
-
-
84885174888
-
Three dimensional on 300 mm wafer scale nano imprint lithography processes
-
S. Landis, V. Reboud, T. Enot, C. Vizioz, Three dimensional on 300 mm wafer scale nano imprint lithography processes. Microelectron. Eng. 110, 198–203 (2013)
-
(2013)
Microelectron. Eng.
, vol.110
, pp. 198-203
-
-
Landis, S.1
Reboud, V.2
Enot, T.3
Vizioz, C.4
-
56
-
-
0038358325
-
Fabrication of multilevel silicon structures by anisotropic deep silicon etching
-
R. Huber, J. Conrad, L. Schmitt, K. Hecker, J. Scheurer, M. Weber, Fabrication of multilevel silicon structures by anisotropic deep silicon etching. Microelectron. Eng. 67–68, 410–416 (2003)
-
(2003)
Microelectron. Eng.
, vol.67-68
, pp. 410-416
-
-
Huber, R.1
Conrad, J.2
Schmitt, L.3
Hecker, K.4
Scheurer, J.5
Weber, M.6
-
57
-
-
84975664464
-
Connell, Techniques for monolithic fabrication of microlens arrays
-
C.D. Popovic, R.A. Sprague, G.A. Neville, Connell, Techniques for monolithic fabrication of microlens arrays. Appl. Opt. 27, 1281–1284 (1988)
-
(1988)
Appl. Opt.
, vol.27
, pp. 1281-1284
-
-
Popovic, C.D.1
Sprague, R.A.2
Neville, G.A.3
-
58
-
-
0025471413
-
The manufacture of microlenses by melting photoresist
-
D. Daly, R.F. Stevens, M.C. Hutley, N. Davies, The manufacture of microlenses by melting photoresist. Meas. Sci. Technol. 1, 759–766 (1990)
-
(1990)
Meas. Sci. Technol.
, vol.1
, pp. 759-766
-
-
Daly, D.1
Stevens, R.F.2
Hutley, M.C.3
Davies, N.4
-
59
-
-
84954372200
-
Influence of residual layer on cross-sectional shape of thermal-reflowed photoresist structures
-
H. Mekaru, Influence of residual layer on cross-sectional shape of thermal-reflowed photoresist structures. Microsyst. Technol. (2014). doi:10.1007/s00542-014-2389-z
-
(2014)
Microsyst. Technol.
-
-
Mekaru, H.1
-
60
-
-
77957804985
-
Fabrication of 3-D nanoimprint stamps with continuous reliefs using dose-modulated electron beam lithography and thermal reflow
-
A. Schleunitz, H. Schift, Fabrication of 3-D nanoimprint stamps with continuous reliefs using dose-modulated electron beam lithography and thermal reflow. J. Micromech. Microeng. 20, 095002 (2010)
-
(2010)
J. Micromech. Microeng.
, vol.20
, pp. 095002
-
-
Schleunitz, A.1
Schift, H.2
-
61
-
-
85098805045
-
Novel 3D micro- and nanofabrication method using thermally activated selective topography equilibration (TASTE) of polymers
-
A. Schleunitz, V.A. Guzenko, M. Messerschmidt, H. Atasoy, R. Kirchner, H. Schift, Novel 3D micro- and nanofabrication method using thermally activated selective topography equilibration (TASTE) of polymers. Nano Converg. 1, 7 (2014)
-
(2014)
Nano Converg.
, vol.1
, pp. 7
-
-
Schleunitz, A.1
Guzenko, V.A.2
Messerschmidt, M.3
Atasoy, H.4
Kirchner, R.5
Schift, H.6
-
62
-
-
84255183906
-
Combining nanoimprint lithography and a molecular weight selective thermal reflow for the generation of mixed 3-D structures
-
A. Schleunitz, C. Spreu, M. Vogler, H. Atasoy, H. Schift, Combining nanoimprint lithography and a molecular weight selective thermal reflow for the generation of mixed 3-D structures. J. Vac. Sci. Technol. B 29(6), 06FC01 (2011)
-
(2011)
J. Vac. Sci. Technol. B
, vol.29
, Issue.6
, pp. 06FC01
-
-
Schleunitz, A.1
Spreu, C.2
Vogler, M.3
Atasoy, H.4
Schift, H.5
-
63
-
-
84949114829
-
Mobility based 3D simulation of selective, viscoelastic polymer reflow using surface evolver
-
R. Kirchner, H. Schift, Mobility based 3D simulation of selective, viscoelastic polymer reflow using surface evolver. J. Vac. Sci. Technol. B 32, 06F701 (2014)
-
(2014)
J. Vac. Sci. Technol. B
, vol.32
, pp. 06F701
-
-
Kirchner, R.1
Schift, H.2
-
64
-
-
84899527883
-
Energy-based thermal reflow simulation for 3D polymer shape prediction using the surface evolver
-
R. Kirchner, A. Schleunitz, H. Schift, Energy-based thermal reflow simulation for 3D polymer shape prediction using the surface evolver. J. Micromech. Microeng. 24(5), 055010 (2014)
-
(2014)
J. Micromech. Microeng.
, vol.24
, Issue.5
, pp. 055010
-
-
Kirchner, R.1
Schleunitz, A.2
Schift, H.3
-
65
-
-
34848925118
-
Novel fabrication method for three-dimensional nanostructuring: an application to micro-optics
-
M. Tormen, A. Carpentiero, E. Ferrari, D. Cojoc, E. Di Fabrizio, Novel fabrication method for three-dimensional nanostructuring: an application to micro-optics. Nanotechnology 18, 385301 (2007)
-
(2007)
Nanotechnology
, vol.18
, pp. 385301
-
-
Tormen, M.1
Carpentiero, A.2
Ferrari, E.3
Cojoc, D.4
Di Fabrizio, E.5
-
66
-
-
78049266310
-
Shape control of polymer reflow structures fabricated by nanoimprint lithography
-
H. Schift, C. Spreu, A. Schleunitz, J.J. Lee, Shape control of polymer reflow structures fabricated by nanoimprint lithography. Microelectron. Eng. 88, 87–92 (2011)
-
(2011)
Microelectron. Eng.
, vol.88
, pp. 87-92
-
-
Schift, H.1
Spreu, C.2
Schleunitz, A.3
Lee, J.J.4
-
67
-
-
0028748021
-
-
M.T. Gale, L.G. Baraldi, R.E. Kunz, Replicated microstructures for integrated optics, in Proceedings of the SPIE 2213, (1994), pp. 2–10
-
(1994)
Replicated microstructures for integrated optics, in Proceedings of the SPIE
, vol.2213
, pp. 2-10
-
-
Gale, M.T.1
Baraldi, L.G.2
Kunz, R.E.3
-
68
-
-
0003808049
-
Heissprägen in Polymeren für die Herstellung integriert-optischer Systemkomponenten, PhD thesis, Diss. ETH
-
L.G. Baraldi, Heissprägen in Polymeren für die Herstellung integriert-optischer Systemkomponenten, PhD thesis, Diss. ETH. Nr. 10762 (1994)
-
(1994)
Nr
, pp. 10762
-
-
Baraldi, L.G.1
-
69
-
-
44149091962
-
Pressure and resist thickness dependency of resist time evolutions profiles in nanoimprint lithography
-
Y. Hirai, Y. Onishi, T. Tanabe, M. Shibata, T. Iwasaki, Y. Iriye, Pressure and resist thickness dependency of resist time evolutions profiles in nanoimprint lithography. Microelectron. Eng. 85, 842–845 (2008)
-
(2008)
Microelectron. Eng.
, vol.85
, pp. 842-845
-
-
Hirai, Y.1
Onishi, Y.2
Tanabe, T.3
Shibata, M.4
Iwasaki, T.5
Iriye, Y.6
-
70
-
-
27944505464
-
Impact of polymer film thickness and cavity size on polymer flow during embossing: toward process design rules for nanoimprint lithography
-
H.D. Rowland, A.C. Sun, P.R. Schunk, W.P. King, Impact of polymer film thickness and cavity size on polymer flow during embossing: toward process design rules for nanoimprint lithography. J. Micromech. Microeng. 15, 2414–2425 (2005)
-
(2005)
J. Micromech. Microeng.
, vol.15
, pp. 2414-2425
-
-
Rowland, H.D.1
Sun, A.C.2
Schunk, P.R.3
King, W.P.4
-
71
-
-
34247569497
-
Flow property measurements for nanoimprint simulation
-
T. Leveder, S. Landis, L. Davoust, N. Chaix, Flow property measurements for nanoimprint simulation. Microelectron. Eng. 84, 928–931 (2007)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 928-931
-
-
Leveder, T.1
Landis, S.2
Davoust, L.3
Chaix, N.4
-
72
-
-
34247578691
-
Optimization of demolding temperature for throughput improvement of nanoimprint lithography
-
T. Leveder, S. Landis, L. Davoust, N. Chaix, Optimization of demolding temperature for throughput improvement of nanoimprint lithography. Microelectron. Eng. 84, 953–957 (2007)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 953-957
-
-
Leveder, T.1
Landis, S.2
Davoust, L.3
Chaix, N.4
-
73
-
-
84881025618
-
Extension of the natural element method to surface tension and wettability for the simulation of polymer flows at the micro and nano scales
-
H. Teyssèdre, P. Gilormini, Extension of the natural element method to surface tension and wettability for the simulation of polymer flows at the micro and nano scales. J. Non-Newton. Fluid Mech. 200, 9–16 (2013)
-
(2013)
J. Non-Newton. Fluid Mech.
, vol.200
, pp. 9-16
-
-
Teyssèdre, H.1
Gilormini, P.2
-
74
-
-
43249092818
-
The fabrication of a flexible mold for high resolution soft ultraviolet nanoimprint lithography
-
N. Koo, U. Plachetka, M. Otto, J. Bolten, J.-H. Jeong, E.-S. Lee, H. Kurz, The fabrication of a flexible mold for high resolution soft ultraviolet nanoimprint lithography. Nanotechnology 19, 225304 (2008)
-
(2008)
Nanotechnology
, vol.19
, pp. 225304
-
-
Koo, N.1
Plachetka, U.2
Otto, M.3
Bolten, J.4
Jeong, J.-H.5
Lee, E.-S.6
Kurz, H.7
-
75
-
-
79952449457
-
Press and release imprint: control of the flexible mold deformation and the local variation of residual layer thickness in soft UV-NIL
-
N. Koo, M. Otto, J.W. Kim, J.-H. Jeong, H. Kurz, Press and release imprint: control of the flexible mold deformation and the local variation of residual layer thickness in soft UV-NIL. Microelectron. Eng. 88(6), 1033–1036 (2011)
-
(2011)
Microelectron. Eng.
, vol.88
, Issue.6
, pp. 1033-1036
-
-
Koo, N.1
Otto, M.2
Kim, J.W.3
Jeong, J.-H.4
Kurz, H.5
-
76
-
-
34247853356
-
Fast heating and cooling in nanoimprint using a spring-loaded adapter in a preheated press
-
H. Schift, S. Bellini, J. Gobrecht, F. Reuther, M. Kubenz, M.B. Mikkelsen, K. Vogelsang, Fast heating and cooling in nanoimprint using a spring-loaded adapter in a preheated press. Microelectron. Eng. 84, 932–936 (2007)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 932-936
-
-
Schift, H.1
Bellini, S.2
Gobrecht, J.3
Reuther, F.4
Kubenz, M.5
Mikkelsen, M.B.6
Vogelsang, K.7
-
77
-
-
70249117100
-
3D visualization of mold filling stages in thermal nanoimprint by white light interferometry and atomic force microscopy
-
H. Schift, G. Kim, J.J. Lee, J. Gobrecht, 3D visualization of mold filling stages in thermal nanoimprint by white light interferometry and atomic force microscopy. Nanotechnology 20, 355301 (2009)
-
(2009)
Nanotechnology
, vol.20
, pp. 355301
-
-
Schift, H.1
Kim, G.2
Lee, J.J.3
Gobrecht, J.4
-
78
-
-
0034316494
-
Quantitative analysis of the molding of nanostructures
-
H. Schift, A. D’Amore, C. David, M. Gabriel, J. Gobrecht, W. Kaiser, D. Simoneta, Quantitative analysis of the molding of nanostructures. J. Vac. Sci. Technol. B 18(6), 3564–3568 (2000)
-
(2000)
J. Vac. Sci. Technol. B
, vol.18
, Issue.6
, pp. 3564-3568
-
-
Schift, H.1
D’Amore, A.2
David, C.3
Gabriel, M.4
Gobrecht, J.5
Kaiser, W.6
Simoneta, D.7
-
79
-
-
84870355058
-
Sidewall-angle dependent mold filling of three-dimensional microcavities in thermal nanoimprint lithography
-
H. Schift, M. Altana, A. Schleunitz, Sidewall-angle dependent mold filling of three-dimensional microcavities in thermal nanoimprint lithography. J. Vac. Sci. Technol. B 30(6), 06FB09 (2012)
-
(2012)
J. Vac. Sci. Technol. B
, vol.30
, Issue.6
, pp. 06FB09
-
-
Schift, H.1
Altana, M.2
Schleunitz, A.3
-
80
-
-
0037493097
-
Nano-imprint—molding resists for lithography
-
H. Schift, S. Park, J. Gobrecht, Nano-imprint—molding resists for lithography. J. Photopolym. Sci. Technol. (Jpn.) 16(3), 435–438 (2003)
-
(2003)
J. Photopolym. Sci. Technol. (Jpn.)
, vol.16
, Issue.3
, pp. 435-438
-
-
Schift, H.1
Park, S.2
Gobrecht, J.3
-
81
-
-
34247572491
-
Coarse-grain method for modeling of stamp and substrate deformation in nanoimprint
-
V. Sirotkin, A. Svintsov, H. Schift, S. Zaitsev, Coarse-grain method for modeling of stamp and substrate deformation in nanoimprint. Microelectron. Eng. 84, 868–871 (2007)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 868-871
-
-
Sirotkin, V.1
Svintsov, A.2
Schift, H.3
Zaitsev, S.4
-
82
-
-
1642603474
-
Review on micro molding of thermoplastic polymers
-
M. Heckele, W.K. Schomburg, Review on micro molding of thermoplastic polymers. J. Micromech. Microeng. 14, R1 (2004)
-
(2004)
J. Micromech. Microeng.
, vol.14
, pp. R1
-
-
Heckele, M.1
Schomburg, W.K.2
-
83
-
-
84859199567
-
-
William Andrew, Oxford
-
M. Worgull, Hot Embossing, 1st edn. (William Andrew, Oxford, 2009)
-
(2009)
Hot Embossing
-
-
Worgull, M.1
-
84
-
-
84859441230
-
Redirection of sunlight by microstructured components—simulation, fabrication and experimental results
-
S. Klammt, H. Müller, A. Neyer, Redirection of sunlight by microstructured components—simulation, fabrication and experimental results. Sol. Energy 86, 1660–1666 (2012)
-
(2012)
Sol. Energy
, vol.86
, pp. 1660-1666
-
-
Klammt, S.1
Müller, H.2
Neyer, A.3
-
85
-
-
0035356221
-
Pattern formation in hot embossing of thin polymer films
-
H. Schift, L.J. Heyderman, M. Auf der Maur, J. Gobrecht, Pattern formation in hot embossing of thin polymer films. Nanotechnology 12, 173–177 (2001)
-
(2001)
Nanotechnology
, vol.12
, pp. 173-177
-
-
Schift, H.1
Heyderman, L.J.2
Auf der Maur, M.3
Gobrecht, J.4
-
86
-
-
33748889191
-
Influence of the molecular weight and imprint conditions on the formation of capillary bridges in nanoimprint lithography
-
N. Chaix, C. Gourgon, S. Landis, C. Perret, M. Fink, F. Reuther, D. Mecerreyes, Influence of the molecular weight and imprint conditions on the formation of capillary bridges in nanoimprint lithography. Nanotechnology 17, 4082–4087 (2006)
-
(2006)
Nanotechnology
, vol.17
, pp. 4082-4087
-
-
Chaix, N.1
Gourgon, C.2
Landis, S.3
Perret, C.4
Fink, M.5
Reuther, F.6
Mecerreyes, D.7
-
87
-
-
84907244902
-
Challenges with high aspect ratio nanoimprint
-
H.-C. Scheer, A. Mayer, K. Dhima, S. Wang, C. Steinberg, Challenges with high aspect ratio nanoimprint. Microsyst. Technol. 20(10–11), 1891–1898 (2014)
-
(2014)
Microsyst. Technol.
, vol.20
, Issue.10-11
, pp. 1891-1898
-
-
Scheer, H.-C.1
Mayer, A.2
Dhima, K.3
Wang, S.4
Steinberg, C.5
-
88
-
-
77957104426
-
UV-nanoimprint lithography (NIL) process simulation
-
Y. Hirai, UV-nanoimprint lithography (NIL) process simulation. Photopolym. Sci. Technol. (Jpn.) 23, 25–32 (2010)
-
(2010)
Photopolym. Sci. Technol. (Jpn.)
, vol.23
, pp. 25-32
-
-
Hirai, Y.1
-
89
-
-
0037682242
-
Local mass transport and its effect on global pattern replication during hot embossing
-
H. Schulz, M. Wissen, H.-C. Scheer, Local mass transport and its effect on global pattern replication during hot embossing. Microelectron. Eng. 67–68, 657–663 (2003)
-
(2003)
Microelectron. Eng.
, vol.67-68
, pp. 657-663
-
-
Schulz, H.1
Wissen, M.2
Scheer, H.-C.3
-
90
-
-
0032637821
-
The deposition on anti-adhesive ultra-thin teflon-like films and their interaction with polymers during hot embossing
-
R.W. Jaszewski, H. Schift, B. Schnyder, A. Schneuwly, P. Gröning, The deposition on anti-adhesive ultra-thin teflon-like films and their interaction with polymers during hot embossing. Appl. Surf. Sci. 143, 301–308 (1999)
-
(1999)
Appl. Surf. Sci.
, vol.143
, pp. 301-308
-
-
Jaszewski, R.W.1
Schift, H.2
Schnyder, B.3
Schneuwly, A.4
Gröning, P.5
-
91
-
-
33645627927
-
Nanostructuring of anti-adhesive layer by hot embossing lithography
-
S. Park, H. Schift, C. Padeste, J. Gobrecht, Nanostructuring of anti-adhesive layer by hot embossing lithography. Microelectron. Eng. 61–62, 423–428 (2003)
-
(2003)
Microelectron. Eng.
, vol.61-62
, pp. 423-428
-
-
Park, S.1
Schift, H.2
Padeste, C.3
Gobrecht, J.4
-
92
-
-
24144476257
-
Controlled co-evaporation of silanes for nanoimprint stamps
-
H. Schift, S. Saxer, S. Park, C. Padeste, U. Pieles, J. Gobrecht, Controlled co-evaporation of silanes for nanoimprint stamps. Nanotechnology 16, S171–S175 (2005)
-
(2005)
Nanotechnology
, vol.16
, pp. S171-S175
-
-
Schift, H.1
Saxer, S.2
Park, S.3
Padeste, C.4
Pieles, U.5
Gobrecht, J.6
-
93
-
-
44149117259
-
Measurement of demolding forces in full wafer thermal nanoimprint
-
V. Trabadelo, H. Schift, S. Merino, S. Bellini, J. Gobrecht, Measurement of demolding forces in full wafer thermal nanoimprint. Microelectron. Eng. 85, 907–909 (2008)
-
(2008)
Microelectron. Eng.
, vol.85
, pp. 907-909
-
-
Trabadelo, V.1
Schift, H.2
Merino, S.3
Bellini, S.4
Gobrecht, J.5
-
94
-
-
34247580214
-
The use of automatic demolding in nanoimprint lithography processes
-
S. Merino, H. Schift, A. Retolaza, T. Haatainen, The use of automatic demolding in nanoimprint lithography processes. Microelectron. Eng. 84, 958–962 (2007). doi:10.1016/j.mee.2007.01.023
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 958-962
-
-
Merino, S.1
Schift, H.2
Retolaza, A.3
Haatainen, T.4
-
95
-
-
33947588123
-
Study of the demolding process—implications for thermal stress, adhesion and friction control
-
Y. Guo, G. Liu, Y. Xiong, Y. Tian, Study of the demolding process—implications for thermal stress, adhesion and friction control. J. Micromech. Microeng. 17, 9–19 (2007)
-
(2007)
J. Micromech. Microeng.
, vol.17
, pp. 9-19
-
-
Guo, Y.1
Liu, G.2
Xiong, Y.3
Tian, Y.4
-
96
-
-
57249103620
-
Experimental and numerical analyses on recovery of polymer deformation after demolding in the hot embossing process
-
H. Takagi, M. Takahashi, R. Maeda, Y. Onishi, Y. Iriye, T. Iwasaki, Y. Hirai, Experimental and numerical analyses on recovery of polymer deformation after demolding in the hot embossing process. J. Vac. Sci. Technol. B 26, 2399–2404 (2008)
-
(2008)
J. Vac. Sci. Technol. B
, vol.26
, pp. 2399-2404
-
-
Takagi, H.1
Takahashi, M.2
Maeda, R.3
Onishi, Y.4
Iriye, Y.5
Iwasaki, T.6
Hirai, Y.7
-
97
-
-
70349281483
-
Demolding temperature in thermal nanoimprint lithography
-
S. Park, Z. Song, L. Brumfield, A. Amirsadeghi, J. Lee, Demolding temperature in thermal nanoimprint lithography. Appl. Phys. A 97(2), 395–402 (2009)
-
(2009)
Appl. Phys. A
, vol.97
, Issue.2
, pp. 395-402
-
-
Park, S.1
Song, Z.2
Brumfield, L.3
Amirsadeghi, A.4
Lee, J.5
-
98
-
-
77955321319
-
Effects of mold side wall profile on demolding characteristics
-
H. Kawata, K. Kubo, Y. Watanabe, J. Sakamoto, M. Yasuda, Y. Hirai, Effects of mold side wall profile on demolding characteristics. Jpn. J. Appl. Phys. 49(6S), 06GL15 (2010)
-
(2010)
Jpn. J. Appl. Phys.
, vol.49
, Issue.6S
, pp. 06GL15
-
-
Kawata, H.1
Kubo, K.2
Watanabe, Y.3
Sakamoto, J.4
Yasuda, M.5
Hirai, Y.6
-
99
-
-
79961225715
-
Analysis and characterization of demolding of hot embossed polymer microstructures
-
M.E. Dirckx, D.E. Hardt, Analysis and characterization of demolding of hot embossed polymer microstructures. J. Micromech. Microeng. 21, 085024 (2011)
-
(2011)
J. Micromech. Microeng.
, vol.21
, pp. 085024
-
-
Dirckx, M.E.1
Hardt, D.E.2
-
100
-
-
41549094521
-
High-aspect-ratio nanopillar structures fabricated by nanoimprinting with elongation phenomenon
-
K. Kuwabara, A. Miyauchi, High-aspect-ratio nanopillar structures fabricated by nanoimprinting with elongation phenomenon. J. Vac. Sci. Technol. B 26, 582–584 (2008)
-
(2008)
J. Vac. Sci. Technol. B
, vol.26
, pp. 582-584
-
-
Kuwabara, K.1
Miyauchi, A.2
-
101
-
-
34247593007
-
Investigation of the separation of 3D-structures with undercuts
-
S. Möllenbeck, N. Bogdanski, M. Wissen, H.-C. Scheer, J. Zajadacz, K. Zimmer, Investigation of the separation of 3D-structures with undercuts. Microelectron. Eng. 84, 1007–1010 (2007)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 1007-1010
-
-
Möllenbeck, S.1
Bogdanski, N.2
Wissen, M.3
Scheer, H.-C.4
Zajadacz, J.5
Zimmer, K.6
-
102
-
-
65549130419
-
Moulding of arrowhead structures
-
S. Möllenbeck, N. Bogdanski, A. Mayer, H.-C. Scheer, J. Zajadacz, K. Zimmer, Moulding of arrowhead structures. Microelectron. Eng. 86, 608–610 (2009)
-
(2009)
Microelectron. Eng.
, vol.86
, pp. 608-610
-
-
Möllenbeck, S.1
Bogdanski, N.2
Mayer, A.3
Scheer, H.-C.4
Zajadacz, J.5
Zimmer, K.6
-
103
-
-
84862812891
-
Fabrication of elastomeric high-aspect-ratio microstructures using polydimethylsiloxane (PDMS) double casting technique
-
G. Shao, J. Wu, Z. Cai, W. Wang, Fabrication of elastomeric high-aspect-ratio microstructures using polydimethylsiloxane (PDMS) double casting technique. Sens. Actuators A 178, 230–236 (2012)
-
(2012)
Sens. Actuators A
, vol.178
, pp. 230-236
-
-
Shao, G.1
Wu, J.2
Cai, Z.3
Wang, W.4
-
104
-
-
70449127227
-
Surface plasmon resonance in near field coupled gold cylinder array fabricated by EUV-interference lithography and hot embossing
-
P.K. Sahoo, K. Vogelsang, H. Schift, H.H. Solak, Surface plasmon resonance in near field coupled gold cylinder array fabricated by EUV-interference lithography and hot embossing. Appl. Surf. Sci. 256(2), 431–434 (2009)
-
(2009)
Appl. Surf. Sci.
, vol.256
, Issue.2
, pp. 431-434
-
-
Sahoo, P.K.1
Vogelsang, K.2
Schift, H.3
Solak, H.H.4
-
105
-
-
84885175146
-
High aspect ratio pattern collapse of polymeric UV-nano-imprint molds due to cleaning
-
A. Finn, B. Lu, R. Kirchner, X. Thrun, K. Richter, W.-J. Fischer, High aspect ratio pattern collapse of polymeric UV-nano-imprint molds due to cleaning. Microelectron. Eng. 110, 112–118 (2013)
-
(2013)
Microelectron. Eng.
, vol.110
, pp. 112-118
-
-
Finn, A.1
Lu, B.2
Kirchner, R.3
Thrun, X.4
Richter, K.5
Fischer, W.-J.6
-
106
-
-
24644470954
-
-
M. Miller, G. Doyle, N. Stacey, F. Xu, S.V. Sreenivasan, M. Watts, D.L. LaBrake, Fabrication of nanometer sized features on non-flat substrates using a nano-imprint lithography process, in Proceedings of the SPIE 5751, (2005), pp. 994–1002
-
(2005)
Fabrication of nanometer sized features on non-flat substrates using a nano-imprint lithography process, in Proceedings of the SPIE
, vol.5751
, pp. 994-1002
-
-
Miller, M.1
Doyle, G.2
Stacey, N.3
Xu, F.4
Sreenivasan, S.V.5
Watts, M.6
LaBrake, D.L.7
-
107
-
-
36248969507
-
-
D.J. Resnick, G. Schmid, M. Miller, G. Doyle, C. Jones, D. LaBrake, Step and flash imprint lithography template fabrication for emerging market applications, in Proceedings of the SPIE, vol. 6607 (2007), p. 66070T
-
(2007)
Step and flash imprint lithography template fabrication for emerging market applications, in Proceedings of the SPIE
, vol.6607
, pp. 66070T
-
-
Resnick, D.J.1
Schmid, G.2
Miller, M.3
Doyle, G.4
Jones, C.5
LaBrake, D.6
-
108
-
-
77953298860
-
-
T. Ogawa, S. Takei, B.M. Jacobsson, R. Deschner, W. Bell, M.W. Lin, Y. Hagiwara, M. Hanabata, C.G. Willson, Planarizing material for reverse-tone step and flash imprint lithography, in Proceedings of the SPIE 7637, (2010), p. 763708
-
T. Ogawa, S. Takei, B.M. Jacobsson, R. Deschner, W. Bell, M.W. Lin, Y. Hagiwara, M. Hanabata, C.G. Willson, Planarizing material for reverse-tone step and flash imprint lithography, in Proceedings of the SPIE 7637, (2010), p. 763708
-
-
-
-
109
-
-
37149016392
-
Nanoimprint lithography processes on 200 mm Si wafer for optical application: residual thickness etching anisotropy
-
N. Chaix, C. Gourgon, C. Perret, S. Landis, T. Leveder, Nanoimprint lithography processes on 200 mm Si wafer for optical application: residual thickness etching anisotropy. J. Vac. Sci. Technol. B 25, 2346–2351(2007)
-
(2007)
J. Vac. Sci. Technol. B
, vol.25
, pp. 2346-2351
-
-
Chaix, N.1
Gourgon, C.2
Perret, C.3
Landis, S.4
Leveder, T.5
-
110
-
-
0024699048
-
2 reactive ion etching pattern transfer step in multilevel lithography
-
2 reactive ion etching pattern transfer step in multilevel lithography. Polym. Eng. Sci. 29(13), 878–881 (1989)
-
(1989)
Polym. Eng. Sci.
, vol.29
, Issue.13
, pp. 878-881
-
-
Jurgensen, C.W.1
Shaqfeh, E.S.G.2
-
111
-
-
73849139818
-
Study of reactive ion etching for reverse tone nanoimprint process
-
Y. Tsuji, M. Yanagisawa, H. Yoshinaga, K. Hiratsuka, Study of reactive ion etching for reverse tone nanoimprint process. J. Phys. Conf. Ser. 191, 012010 (2009)
-
(2009)
J. Phys. Conf. Ser.
, vol.191
, pp. 012010
-
-
Tsuji, Y.1
Yanagisawa, M.2
Yoshinaga, H.3
Hiratsuka, K.4
-
112
-
-
84991955416
-
-
D.L. Olynick, J. Alexander Liddle, B.D. Harteneck, S. Cabrini, I.W. Rangelow, Nanoscale pattern transfer for templates, NEMs, and nano-optics, in Proceedings of the SPIE 6462, vol. 64620J (2007)
-
D.L. Olynick, J. Alexander Liddle, B.D. Harteneck, S. Cabrini, I.W. Rangelow, Nanoscale pattern transfer for templates, NEMs, and nano-optics, in Proceedings of the SPIE6462, vol. 64620J (2007)
-
-
-
-
113
-
-
0031074686
-
Imprint lithography with sub-10 nm feature size and high throughput
-
S.Y. Chou, P.R. Krauss, Imprint lithography with sub-10 nm feature size and high throughput. Microelectron. Eng. 35, 237–240 (1997)
-
(1997)
Microelectron. Eng.
, vol.35
, pp. 237-240
-
-
Chou, S.Y.1
Krauss, P.R.2
-
114
-
-
0033130707
-
Nanostructuring of polymers and fabrication of interdigitated electrodes by hot embossing lithography
-
H. Schift, R.W. Jaszewski, C. David, J. Gobrecht, Nanostructuring of polymers and fabrication of interdigitated electrodes by hot embossing lithography. Microelectron. Eng. 46, 121–124 (1999)
-
(1999)
Microelectron. Eng.
, vol.46
, pp. 121-124
-
-
Schift, H.1
Jaszewski, R.W.2
David, C.3
Gobrecht, J.4
-
115
-
-
33847668723
-
Arrays of magnetic nanoindentations with perpendicular anisotropy
-
D. Makarov, L. Baraban, I.L. Guhr, J. Boneberg, H. Schift, J. Gobrecht, G. Schatz, P. Leiderer, M. Albrecht, Arrays of magnetic nanoindentations with perpendicular anisotropy. Appl. Phys. Lett. 90(9), 093117 (2007)
-
(2007)
Appl. Phys. Lett.
, vol.90
, Issue.9
, pp. 093117
-
-
Makarov, D.1
Baraban, L.2
Guhr, I.L.3
Boneberg, J.4
Schift, H.5
Gobrecht, J.6
Schatz, G.7
Leiderer, P.8
Albrecht, M.9
-
116
-
-
0038697354
-
Lift-off process for nanoimprint lithography
-
P. Carlberg, M. Graczyk, E.-L. Sarwe, I. Maximov, M. Beck, L. Montelius, Lift-off process for nanoimprint lithography. Microelectron. Eng. 67–68, 203–207 (2003)
-
(2003)
Microelectron. Eng.
, vol.67-68
, pp. 203-207
-
-
Carlberg, P.1
Graczyk, M.2
Sarwe, E.-L.3
Maximov, I.4
Beck, M.5
Montelius, L.6
-
117
-
-
84878725640
-
Sub-15 nm linewidth gratings using roll-to-roll nanoimprinting and plasma trimming to fabricate flexible wire-grid polarizers with low colour shift
-
C.-L. Wu, C.-K. Sung, P.-H. Yao, C.-H. Chen, Sub-15 nm linewidth gratings using roll-to-roll nanoimprinting and plasma trimming to fabricate flexible wire-grid polarizers with low colour shift. Nanotechnology 24, 265301 (2013)
-
(2013)
Nanotechnology
, vol.24
, pp. 265301
-
-
Wu, C.-L.1
Sung, C.-K.2
Yao, P.-H.3
Chen, C.-H.4
-
118
-
-
0035473576
-
A polymer bonding process for nanolithography
-
T. Borzenko, M. Tormen, G. Schmidt, L.W. Molenkamp, H. Janssen, A polymer bonding process for nanolithography. Appl. Phys. Lett. 79(14), 2246–2248 (2001)
-
(2001)
Appl. Phys. Lett.
, vol.79
, Issue.14
, pp. 2246-2248
-
-
Borzenko, T.1
Tormen, M.2
Schmidt, G.3
Molenkamp, L.W.4
Janssen, H.5
-
119
-
-
0036873952
-
Reversal imprinting by transferring polymer from mold to substrate
-
X.D. Huang, L.-R. Bao, X. Cheng, L.J. Guo, S.W. Pang, A.F. Yee, Reversal imprinting by transferring polymer from mold to substrate. J. Vac. Sci. Technol. B 20, 2872–2876 (2002)
-
(2002)
J. Vac. Sci. Technol. B
, vol.20
, pp. 2872-2876
-
-
Huang, X.D.1
Bao, L.-R.2
Cheng, X.3
Guo, L.J.4
Pang, S.W.5
Yee, A.F.6
-
120
-
-
34047270113
-
Reverse-contact UV nanoimprint lithography for multilayered structure fabrication
-
N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner, C.M. Sotomayor Torres, Reverse-contact UV nanoimprint lithography for multilayered structure fabrication. Nanotechnology 18, 175303 (2007)
-
(2007)
Nanotechnology
, vol.18
, pp. 175303
-
-
Kehagias, N.1
Reboud, V.2
Chansin, G.3
Zelsmann, M.4
Jeppesen, C.5
Schuster, C.6
Kubenz, M.7
Reuther, F.8
Gruetzner, G.9
Sotomayor Torres, C.M.10
-
121
-
-
84867335439
-
Liquid transfer nanoimprint replication on non-flat surfaces for optical applications
-
C. Moormann, N. Koo, J. Kim, U. Plachetka, F. Schlachter, C. Nowak, Liquid transfer nanoimprint replication on non-flat surfaces for optical applications. Microelectron. Eng. 100, 28–32 (2012)
-
(2012)
Microelectron. Eng.
, vol.100
, pp. 28-32
-
-
Moormann, C.1
Koo, N.2
Kim, J.3
Plachetka, U.4
Schlachter, F.5
Nowak, C.6
-
122
-
-
78049234618
-
3D structuring of polymer parts using thermoforming processes
-
T. Senn, Ch. Waberski, J. Wolf, J.P. Esquivel, N. Sabaté, B. Löchel, 3D structuring of polymer parts using thermoforming processes. Microelectron. Eng. 88, 11–16 (2011)
-
(2011)
Microelectron. Eng.
, vol.88
, pp. 11-16
-
-
Senn, T.1
Waberski, C.2
Wolf, J.3
Esquivel, J.P.4
Sabaté, N.5
Löchel, B.6
-
123
-
-
36449002242
-
Single-domain magnetic pillar array of 35 nm diameter and 65 Gbits/in. 2 density for ultrahigh density quantum magnetic storage
-
S.Y. Chou, M.S. Wei, P.R. Krauss, P.B. Fischer, Single-domain magnetic pillar array of 35 nm diameter and 65 Gbits/in. 2 density for ultrahigh density quantum magnetic storage. J. Appl. Phys. 76(10), 6673–6675 (1994)
-
(1994)
J. Appl. Phys.
, vol.76
, Issue.10
, pp. 6673-6675
-
-
Chou, S.Y.1
Wei, M.S.2
Krauss, P.R.3
Fischer, P.B.4
-
124
-
-
81455137592
-
-
J. Lille, K. Patel, R. Ruiz, T.-W. Wu, H. Gao, L. Wan, G. Zeltzer, E. Dobisz, T.R. Albrecht, Imprint lithography template technology for bit patterned media (BPM), in Proceedings of the SPIE 8166, Photomask Technology, (2011), p. 816626
-
J. Lille, K. Patel, R. Ruiz, T.-W. Wu, H. Gao, L. Wan, G. Zeltzer, E. Dobisz, T.R. Albrecht, Imprint lithography template technology for bit patterned media (BPM), in Proceedings of the SPIE 8166, Photomask Technology, (2011), p. 816626
-
-
-
-
125
-
-
84870348667
-
Fabrication of templates with rectangular bits on circular tracks by combining block copolymer directed self-assembly and nanoimprint lithography
-
L. Wan, R. Ruiz, H. Gao, K.C. Patel, J. Lille, G. Zeltzer, E.A. Dobisz, A. Bogdanov, P.F. Nealey, T.R. Albrecht, Fabrication of templates with rectangular bits on circular tracks by combining block copolymer directed self-assembly and nanoimprint lithography. J. Micro/Nanolithogr. MEMS MOEMS 11(3), 031405 (2012)
-
(2012)
J. Micro/Nanolithogr. MEMS MOEMS
, vol.11
, Issue.3
, pp. 031405
-
-
Wan, L.1
Ruiz, R.2
Gao, H.3
Patel, K.C.4
Lille, J.5
Zeltzer, G.6
Dobisz, E.A.7
Bogdanov, A.8
Nealey, P.F.9
Albrecht, T.R.10
-
126
-
-
84894062334
-
Directed self-assembly of block copolymers for use in bit patterned media fabrication
-
R.A. Griffiths, A. Williams, C. Oakland, J. Roberts, A. Vijayaraghavan, T. Thomson, Directed self-assembly of block copolymers for use in bit patterned media fabrication. J. Phys. D Appl. Phys. 46, 503001 (2013)
-
(2013)
J. Phys. D Appl. Phys.
, vol.46
, pp. 503001
-
-
Griffiths, R.A.1
Williams, A.2
Oakland, C.3
Roberts, J.4
Vijayaraghavan, A.5
Thomson, T.6
-
127
-
-
23444436105
-
Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography
-
S.-W. Ahn, K.-D. Lee, J.-S. Kim, S.H. Kim, J.-D. Park, S.-H. Lee, P.-W. Yoon, Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography. Nanotechnology 16, 1874–1877 (2005)
-
(2005)
Nanotechnology
, vol.16
, pp. 1874-1877
-
-
Ahn, S.-W.1
Lee, K.-D.2
Kim, J.-S.3
Kim, S.H.4
Park, J.-D.5
Lee, S.-H.6
Yoon, P.-W.7
-
128
-
-
80052817630
-
Fabrication and characterization of bilayer metal wire-grid polarizer using nanoimprint lithography on flexible plastic substrate
-
F. Meng, G. Luo, I. Maximov, L. Montelius, J. Chu, H. Xu, Fabrication and characterization of bilayer metal wire-grid polarizer using nanoimprint lithography on flexible plastic substrate. Microelectron. Eng. 88, 3108–3112 (2011)
-
(2011)
Microelectron. Eng.
, vol.88
, pp. 3108-3112
-
-
Meng, F.1
Luo, G.2
Maximov, I.3
Montelius, L.4
Chu, J.5
Xu, H.6
-
129
-
-
84857511575
-
Engineering metallic nanostructures for plasmonics and nanophotonics
-
N.C. Lindquist, P. Nagpa, K.M. McPeak, D.J. Norris, S.-H. Oh, Engineering metallic nanostructures for plasmonics and nanophotonics. Rep. Prog. Phys. 75, 036501 (2012)
-
(2012)
Rep. Prog. Phys.
, vol.75
, pp. 036501
-
-
Lindquist, N.C.1
Nagpa, P.2
McPeak, K.M.3
Norris, D.J.4
Oh, S.-H.5
-
130
-
-
84899685895
-
High-throughput fabrication of compact and flexible bilayer nanowire grid polarizers for deep-ultraviolet to infrared range
-
L. Wang, H. Schift, J. Gobrecht, Y. Ekinci, P.M. Kristiansen, H.H. Solak, K. Jefimovs, High-throughput fabrication of compact and flexible bilayer nanowire grid polarizers for deep-ultraviolet to infrared range. J. Vac. Sci. Technol. B 32, 031206 (2014)
-
(2014)
J. Vac. Sci. Technol. B
, vol.32
, pp. 031206
-
-
Wang, L.1
Schift, H.2
Gobrecht, J.3
Ekinci, Y.4
Kristiansen, P.M.5
Solak, H.H.6
Jefimovs, K.7
-
131
-
-
76949084620
-
UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing
-
R. Ji, M. Hornung, M.A. Verschuuren, R. van de Laar, J. van Eekelen, U. Plachetka, M. Moeller, C. Moormann, UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing. Microelectron. Eng. 87(5–8), 963–967 (2010)
-
(2010)
Microelectron. Eng.
, vol.87
, Issue.5-8
, pp. 963-967
-
-
Ji, R.1
Hornung, M.2
Verschuuren, M.A.3
van de Laar, R.4
van Eekelen, J.5
Plachetka, U.6
Moeller, M.7
Moormann, C.8
-
132
-
-
82455167175
-
Improved performance of polarization-stable VCSELs by monolithic sub-wavelength gratings produced by soft nano-imprint lithography
-
M.A. Verschuuren, P. Gerlach, H.A. van Sprang, A. Polman, Improved performance of polarization-stable VCSELs by monolithic sub-wavelength gratings produced by soft nano-imprint lithography. Nanotechnology 22, 505201 (2011)
-
(2011)
Nanotechnology
, vol.22
, pp. 505201
-
-
Verschuuren, M.A.1
Gerlach, P.2
van Sprang, H.A.3
Polman, A.4
-
133
-
-
84874048241
-
High-efficiency InGaN-based LEDs grown on patterned sapphire substrates using nanoimprinting technology
-
Y.-C. Lee, S.-C. Yeh, Y.-Y. Chou, P.-J. Tsai, J.-W. Pan, H.-M. Chou, C.-H. Hou, Y.-Y. Chang, M.-S. Chu, C.-H. Wu, C.-H. Ho, High-efficiency InGaN-based LEDs grown on patterned sapphire substrates using nanoimprinting technology. Microelectron. Eng. 105, 86–90 (2013)
-
(2013)
Microelectron. Eng.
, vol.105
, pp. 86-90
-
-
Lee, Y.-C.1
Yeh, S.-C.2
Chou, Y.-Y.3
Tsai, P.-J.4
Pan, J.-W.5
Chou, H.-M.6
Hou, C.-H.7
Chang, Y.-Y.8
Chu, M.-S.9
Wu, C.-H.10
Ho, C.-H.11
-
134
-
-
84891431755
-
Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography
-
L. Cui, J.-C. Han, G.-G. Wang, H.-Y. Zhang, R. Sun, L.-H. Li, Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography. Nanoscale Res. Lett. 8, 472–477 (2013)
-
(2013)
Nanoscale Res. Lett.
, vol.8
, pp. 472-477
-
-
Cui, L.1
Han, J.-C.2
Wang, G.-G.3
Zhang, H.-Y.4
Sun, R.5
Li, L.-H.6
-
135
-
-
24144477520
-
Fabrication process for polymer photonic crystals using nanoimprint lithography
-
H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht, Fabrication process for polymer photonic crystals using nanoimprint lithography. Nanotechnology 16, S261–S265 (2005)
-
(2005)
Nanotechnology
, vol.16
, pp. S261-S265
-
-
Schift, H.1
Park, S.2
Choi, C.-G.3
Kee, C.-S.4
Han, S.-P.5
Yoon, K.-B.6
Gobrecht, J.7
-
136
-
-
24644465507
-
-
M.D. Stewart, J.T. Wetzel, G.M. Schmid, F. Palmieri, E. Thompson, E.K. Kim, D. Wang, K. Sotodeh, K. Jen, S.C. Johnson, J. Hao, M.D. Dickey, Y. Nishimura, R.M. Laine, D.J. Resnick, C.G. Willson, Direct imprinting of dielectric materials for dual damascene processing, in Proceedings of the SPIE 5751, (2005), pp. 210–218
-
(2005)
Direct imprinting of dielectric materials for dual damascene processing, in Proceedings of the SPIE
, vol.5751
, pp. 210-218
-
-
Stewart, M.D.1
Wetzel, J.T.2
Schmid, G.M.3
Palmieri, F.4
Thompson, E.5
Kim, E.K.6
Wang, D.7
Sotodeh, K.8
Jen, K.9
Johnson, S.C.10
Hao, J.11
Dickey, M.D.12
Nishimura, Y.13
Laine, R.M.14
Resnick, D.J.15
Willson, C.G.16
-
137
-
-
72149083079
-
Roll-to-roll manufacturing of electronics on flexible substrates using self-aligned imprint lithography (SAIL)
-
H.-J. Kim, M. Almanza-Workman, B. Garcia, O. Kwon, F. Jeffrey, S. Braymen, J. Hauschildt, K. Junge, D. Larson, D. Stieler, A. Chaiken, B. Cobene, R. Elder, W. Jackson, M. Jam, A. Jeans, H. Luo, P. Mei, C. Perlov, C. Taussig, Roll-to-roll manufacturing of electronics on flexible substrates using self-aligned imprint lithography (SAIL). J. Soc. Inf. Disp. 17(11), 963–970 (2009)
-
(2009)
J. Soc. Inf. Disp.
, vol.17
, Issue.11
, pp. 963-970
-
-
Kim, H.-J.1
Almanza-Workman, M.2
Garcia, B.3
Kwon, O.4
Jeffrey, F.5
Braymen, S.6
Hauschildt, J.7
Junge, K.8
Larson, D.9
Stieler, D.10
Chaiken, A.11
Cobene, B.12
Elder, R.13
Jackson, W.14
Jam, M.15
Jeans, A.16
Luo, H.17
Mei, P.18
Perlov, C.19
Taussig, C.20
more..
-
138
-
-
80052334256
-
Orobtchouk, P. Rojo-Romeo, C. Seassal, F. Mandorlo, Development of silicon photonics devices using microelectronic tools for the integration on top of a CMOS wafer
-
J.M. Fedeli, L. Di Cioccio, D. Marris-Morini, L. Vivien, R. Orobtchouk, P. Rojo-Romeo, C. Seassal, F. Mandorlo, Development of silicon photonics devices using microelectronic tools for the integration on top of a CMOS wafer. Adv. Opt. Technol. 2008, 412518 (2008)
-
(2008)
Adv. Opt. Technol.
, vol.2008
, pp. 412518
-
-
Fedeli, J.M.1
Di Cioccio, L.2
Marris-Morini, D.3
Vivien, L.4
Orobtchouk, R.5
Rojo-Romeo, P.6
Seassal, C.7
Mandorlo, F.8
-
139
-
-
79960814012
-
Single and multilayer metamaterials fabricated by nanoimprint lithography
-
I. Bergmair, B. Dastmalchi, M. Bergmair, A. Saeed, W. Hilber, G. Hesser, C. Helgert, E. Pshenay-Severin, T. Pertsch, E.B. Kley, U. Hübner, N.H. Shen, R. Penciu, M. Kafesaki, C.M. Soukoulis, K. Hingerl, M. Muehlberger, R. Schoeftner, Single and multilayer metamaterials fabricated by nanoimprint lithography. Nanotechnology 22, 325301 (2011)
-
(2011)
Nanotechnology
, vol.22
, pp. 325301
-
-
Bergmair, I.1
Dastmalchi, B.2
Bergmair, M.3
Saeed, A.4
Hilber, W.5
Hesser, G.6
Helgert, C.7
Pshenay-Severin, E.8
Pertsch, T.9
Kley, E.B.10
Hübner, U.11
Shen, N.H.12
Penciu, R.13
Kafesaki, M.14
Soukoulis, C.M.15
Hingerl, K.16
Muehlberger, M.17
Schoeftner, R.18
-
140
-
-
84878226669
-
High resolution 3D nanoimprint technology: template fabrication, application in Fabry–Pérot-filter-array-based optical nanospectrometers
-
X. Wang, A. Albrecht, H.H. Mai, C. Woidt, T. Meinl, M. Hornung, M. Bartels, H. Hillmer, High resolution 3D nanoimprint technology: template fabrication, application in Fabry–Pérot-filter-array-based optical nanospectrometers. Microelectron. Eng. 110, 44–51 (2013)
-
(2013)
Microelectron. Eng.
, vol.110
, pp. 44-51
-
-
Wang, X.1
Albrecht, A.2
Mai, H.H.3
Woidt, C.4
Meinl, T.5
Hornung, M.6
Bartels, M.7
Hillmer, H.8
-
141
-
-
84903449501
-
Nanoimprinting techniques for large-area three-dimensional negative index metamaterials with operation in the visible and telecom bands
-
L. Gao, K. Shigeta, A. Vazquez-Guardado, C.J. Progler, G.R. Bogart, J.A. Rogers, D. Chanda, Nanoimprinting techniques for large-area three-dimensional negative index metamaterials with operation in the visible and telecom bands. ACS Nano 8(6), 5535–5542 (2014)
-
(2014)
ACS Nano
, vol.8
, Issue.6
, pp. 5535-5542
-
-
Gao, L.1
Shigeta, K.2
Vazquez-Guardado, A.3
Progler, C.J.4
Bogart, G.R.5
Rogers, J.A.6
Chanda, D.7
-
142
-
-
84897916725
-
Biologically inspired omniphobic surfaces by reverse imprint lithography
-
R. Hensel, A. Finn, R. Helbig, H.-G. Braun, C. Neinhuis, W.-J. Fischer, C. Werner, Biologically inspired omniphobic surfaces by reverse imprint lithography. Adv. Mater. 26(13), 2029–2033 (2014)
-
(2014)
Adv. Mater.
, vol.26
, Issue.13
, pp. 2029-2033
-
-
Hensel, R.1
Finn, A.2
Helbig, R.3
Braun, H.-G.4
Neinhuis, C.5
Fischer, W.-J.6
Werner, C.7
-
143
-
-
77952979804
-
Cones fabricated by 3D nanoimprint lithography for highly sensitive surface enhanced Raman spectroscopy
-
W. Wu, M. Hu, F.S. Ou, Z.Y. Li, R.S. Williams, Cones fabricated by 3D nanoimprint lithography for highly sensitive surface enhanced Raman spectroscopy. Nanotechnology 21, 255502 (2010)
-
(2010)
Nanotechnology
, vol.21
, pp. 255502
-
-
Wu, W.1
Hu, M.2
Ou, F.S.3
Li, Z.Y.4
Williams, R.S.5
-
144
-
-
55149120119
-
A hybrid three-dimensional nanofabrication method for producing vascular tissue engineering scaffold
-
N. Gadegaard, K. Seunarine, D.J.A. Smith, D.O. Meredith, C.D.W. Wilkinson, M.O. Riehle, A hybrid three-dimensional nanofabrication method for producing vascular tissue engineering scaffold. Jpn. J. Appl. Phys. 47, 7415–7419 (2008)
-
(2008)
Jpn. J. Appl. Phys.
, vol.47
, pp. 7415-7419
-
-
Gadegaard, N.1
Seunarine, K.2
Smith, D.J.A.3
Meredith, D.O.4
Wilkinson, C.D.W.5
Riehle, M.O.6
-
145
-
-
84255189763
-
Fabrication of fluidic devices with 30 nm nanochannels by direct imprinting
-
I. Fernandez-Cuesta, A.L. Palmarelli, X. Liang, J. Zhang, S. Dhuey, D. Olynick, S. Cabrini, Fabrication of fluidic devices with 30 nm nanochannels by direct imprinting. J. Vac. Sci. Technol. B 29(6), 06F801-1/7 (2011)
-
(2011)
J. Vac. Sci. Technol. B
, vol.29
, Issue.6
-
-
Fernandez-Cuesta, I.1
Palmarelli, A.L.2
Liang, X.3
Zhang, J.4
Dhuey, S.5
Olynick, D.6
Cabrini, S.7
-
146
-
-
84880824388
-
Innovative and tailor-made resist and working stamp materials for advancing NIL-based production technology
-
A. Schleunitz, M. Vogler, I. Fernandez-Cuesta, H. Schift, G. Gruetzner, Innovative and tailor-made resist and working stamp materials for advancing NIL-based production technology. J. Photopolym. Sci. Technol. (Jpn.) 26(1), 119–124 (2013)
-
(2013)
J. Photopolym. Sci. Technol. (Jpn.)
, vol.26
, Issue.1
, pp. 119-124
-
-
Schleunitz, A.1
Vogler, M.2
Fernandez-Cuesta, I.3
Schift, H.4
Gruetzner, G.5
-
147
-
-
84923302927
-
Bio-inspired 3D funnel structures made by grayscale electron-beam patterning and selective topography equilibration
-
R. Kirchner, V.A. Guzenko, M. Rohn, E. Sonntag, M. Muehlberger, I. Bergmair, H. Schift, Bio-inspired 3D funnel structures made by grayscale electron-beam patterning and selective topography equilibration. Microelectron. Eng. 141, 107–111 (2015)
-
(2015)
Microelectron. Eng
, vol.141
, pp. 107-111
-
-
Kirchner, R.1
Guzenko, V.A.2
Rohn, M.3
Sonntag, E.4
Muehlberger, M.5
Bergmair, I.6
Schift, H.7
-
148
-
-
82755161880
-
Surface patterned polymer micro-cantilever arrays for sensing
-
P. Urwyler, H. Schift, J. Gobrecht, O. Häfeli, M. Altana, F. Battiston, B. Müller, Surface patterned polymer micro-cantilever arrays for sensing. Sens. Actuators A 172(1), 2–8 (2011)
-
(2011)
Sens. Actuators A
, vol.172
, Issue.1
, pp. 2-8
-
-
Urwyler, P.1
Schift, H.2
Gobrecht, J.3
Häfeli, O.4
Altana, M.5
Battiston, F.6
Müller, B.7
-
149
-
-
57649201485
-
-
J. Söchtig, H. Schift, P.D. Patterson, S. Westenhöfer, Replicated diffractive optical lens components for laser-diode to fiber coupling in optical bench arrangements, in Proceedings of the SPIE 3226, (1997), pp. 44–55
-
(1997)
Replicated diffractive optical lens components for laser-diode to fiber coupling in optical bench arrangements, in Proceedings of the SPIE
, vol.3226
, pp. 44-55
-
-
Söchtig, J.1
Schift, H.2
Patterson, P.D.3
Westenhöfer, S.4
-
150
-
-
84865500682
-
Roll-to-roll pilot nanoimprinting process for backlight devices
-
T. Mäkelä, T. Haatainen, Roll-to-roll pilot nanoimprinting process for backlight devices. Microelectron. Eng. 97, 89–91 (2012)
-
(2012)
Microelectron. Eng.
, vol.97
, pp. 89-91
-
-
Mäkelä, T.1
Haatainen, T.2
-
151
-
-
84865034800
-
Pilot production of photonic devices by roll-to-roll nanoimprinting
-
T. Mäkelä, T. Haatainen, J. Ahopelto, Pilot production of photonic devices by roll-to-roll nanoimprinting. J. Photopolym. Sci. Technol. 25(2), 227–228 (2012)
-
(2012)
J. Photopolym. Sci. Technol.
, vol.25
, Issue.2
, pp. 227-228
-
-
Mäkelä, T.1
Haatainen, T.2
Ahopelto, J.3
-
152
-
-
84991947097
-
-
C.M. Sotomayor-Torres, J. Ahopelto, Position Paper on Nanophotonics and Nanophononics, NanoICT. Phantoms Foundation, Madrid, Spain
-
C.M. Sotomayor-Torres, J. Ahopelto, Position Paper on Nanophotonics and Nanophononics, NanoICT. Phantoms Foundation, Madrid, Spain. http://www.phantomsnet.net/
-
-
-
-
153
-
-
84991924721
-
-
Kindle Paperwhite, 6” High Resolution Display, Kindle Paperwhite—Released 2012,. Accessed 12 Dec 2014
-
Kindle Paperwhite, 6” High Resolution Display, Kindle Paperwhite—Released 2012, http://www.amazon.com/Kindle-Paperwhite-Touch-light/dp/B007OZNZG0. Accessed 12 Dec 2014
-
-
-
-
154
-
-
84991944243
-
ExtremeTech, Electronics
-
J. Hruska, ExtremeTech, Electronics, Amazon sheds new light on Kindle Paperwhite display, 1 Oct 2012, http://www.extremetech.com/electronics/137158-amazon-sheds-new-light-on-kindle-paperwhite-display. Accessed 12 Dec 2014
-
(2012)
Amazon sheds new light on Kindle Paperwhite display
, pp. 1
-
-
Hruska, J.1
-
155
-
-
84991968588
-
-
The New York Times, Business Day Technology, Published: December 26, 2012, Light reading: how the Kindle Paperwhite works,. Accessed 12 Dec 2014
-
The New York Times, Business Day Technology, Published: December 26, 2012, Light reading: how the Kindle Paperwhite works, http://www.nytimes.com/interactive/2012/12/26/technology/light-reading.html. Accessed 12 Dec 2014
-
-
-
-
157
-
-
84991934038
-
-
K. Rinko, Light Outcoupling Structure for a Lighting Device, International Publication Number WO 2008/053078 A1, 2006, priority date 31 October
-
K. Rinko, Light Outcoupling Structure for a Lighting Device, International Publication Number WO 2008/053078 A1, 2006, priority date 31 October
-
-
-
-
158
-
-
84874497844
-
Controlled angular redirection of light via nanoimprinted disordered gratings
-
T. Buß, J. Teisseire, S. Mazoyer, C.L.C. Smith, M.B. Mikkelsen, A. Kristensen, E. Søndergård, Controlled angular redirection of light via nanoimprinted disordered gratings. Appl. Opt. 52(4), 709–716 (2013)
-
(2013)
Appl. Opt.
, vol.52
, Issue.4
, pp. 709-716
-
-
Buß, T.1
Teisseire, J.2
Mazoyer, S.3
Smith, C.L.C.4
Mikkelsen, M.B.5
Kristensen, A.6
Søndergård, E.7
-
159
-
-
84860136141
-
Microoptics for efficient redirection of sunlight
-
S. Klammt, A. Neyer, H.F.O. Mueller, Microoptics for efficient redirection of sunlight. Appl. Opt. 51, 2051–2056 (2012)
-
(2012)
Appl. Opt.
, vol.51
, pp. 2051-2056
-
-
Klammt, S.1
Neyer, A.2
Mueller, H.F.O.3
-
160
-
-
72849131672
-
Transparent hybrid polymer stamp copies with sub-50 nm resolution for thermal and UV-nanoimprint lithography
-
H. Schift, C. Spreu, M. Saidani, M. Bednarzik, J. Gobrecht, A. Klukowska, F. Reuther, G. Gruetzner, H.H. Solak, Transparent hybrid polymer stamp copies with sub-50 nm resolution for thermal and UV-nanoimprint lithography. J. Vac. Sci. Technol. B 27(6), 2846–2849 (2009)
-
(2009)
J. Vac. Sci. Technol. B
, vol.27
, Issue.6
, pp. 2846-2849
-
-
Schift, H.1
Spreu, C.2
Saidani, M.3
Bednarzik, M.4
Gobrecht, J.5
Klukowska, A.6
Reuther, F.7
Gruetzner, G.8
Solak, H.H.9
-
161
-
-
78650165346
-
Fabrication of mesas with micro- and nanopatterned surface relief used as working stamps for step & stamp imprint lithography
-
A. Schleunitz, C. Spreu, T. Haatainen, A. Klukowska, H. Schift, Fabrication of mesas with micro- and nanopatterned surface relief used as working stamps for step & stamp imprint lithography. J. Vac. Sci. Technol. B 28(6), C6M37–C6M40 (2010)
-
(2010)
J. Vac. Sci. Technol. B
, vol.28
, Issue.6
, pp. C6M37-C6M40
-
-
Schleunitz, A.1
Spreu, C.2
Haatainen, T.3
Klukowska, A.4
Schift, H.5
-
162
-
-
84865584817
-
Integration of rotated 3-D structures into pre-patterned PMMA substrate using step & stamp nanoimprint lithography
-
T. Haatainen, T. Mäkelä, A. Schleunitz, G. Grenci, M. Tormen, Integration of rotated 3-D structures into pre-patterned PMMA substrate using step & stamp nanoimprint lithography. Microelectron. Eng. 98, 180–183 (2012)
-
(2012)
Microelectron. Eng.
, vol.98
, pp. 180-183
-
-
Haatainen, T.1
Mäkelä, T.2
Schleunitz, A.3
Grenci, G.4
Tormen, M.5
-
163
-
-
84888608901
-
Microfabrication of sharp blazed gratings by a two-step height amplification process based on soft and deep X-ray lithography
-
G. Grenci, E. Sovernigo, A.Z. Khokhar, N. Gadegaard, M. Prasciolu, M. Tormen, Microfabrication of sharp blazed gratings by a two-step height amplification process based on soft and deep X-ray lithography. Sens. Actuators A 205, 111–118 (2014)
-
(2014)
Sens. Actuators A
, vol.205
, pp. 111-118
-
-
Grenci, G.1
Sovernigo, E.2
Khokhar, A.Z.3
Gadegaard, N.4
Prasciolu, M.5
Tormen, M.6
-
164
-
-
0035927018
-
Direct three-dimensional patterning using nanoimprint lithography
-
M. Li, L. Chen, S.Y. Chou, Direct three-dimensional patterning using nanoimprint lithography. Appl. Phys. Lett. 78(21), 3322–3324 (2001)
-
(2001)
Appl. Phys. Lett.
, vol.78
, Issue.21
, pp. 3322-3324
-
-
Li, M.1
Chen, L.2
Chou, S.Y.3
-
165
-
-
84857027753
-
Advances, challenges and opportunities in 3D CMOS sequential integration
-
P. Batude, M. Vinet, B. Previtali, C. Tabone, C. Xu, J. Mazurier, O. Weber, F. Andrieu, L. Tosti, L. Brevard, B. Sklenard, P. Coudrain, S. Bobba, H. Ben Jamaa, P-E. Gaillardon, A. Pouydebasque, O. Thomas, C. Le Royer, J.-M. Hartmann, L. Sanchez, L. Baud, V. Carron, L. Clavelier, G. De Micheli, S. Deleonibus, O. Faynot, T. Poiroux, Advances, challenges and opportunities in 3D CMOS sequential integration, in IEEE Electron Devices Meeting (IEDM) (2011)
-
(2011)
in IEEE Electron Devices Meeting (IEDM)
-
-
Batude, P.1
Vinet, M.2
Previtali, B.3
Tabone, C.4
Xu, C.5
Mazurier, J.6
Weber, O.7
Andrieu, F.8
Tosti, L.9
Brevard, L.10
Sklenard, B.11
Coudrain, P.12
Bobba, S.13
Ben Jamaa, H.14
Gaillardon, P.-E.15
Pouydebasque, A.16
Thomas, O.17
Le Royer, C.18
Hartmann, J.-M.19
Sanchez, L.20
Baud, L.21
Carron, V.22
Clavelier, L.23
De Micheli, G.24
Deleonibus, S.25
Faynot, O.26
Poiroux, T.27
more..
-
166
-
-
79954516039
-
Inclined nanoimprinting lithography for 3D nanopatterning
-
Z. Liu, D.G. Bucknall, M.G. Allen, Inclined nanoimprinting lithography for 3D nanopatterning. Nanotechnology 22, 225302 (2011)
-
(2011)
Nanotechnology
, vol.22
, pp. 225302
-
-
Liu, Z.1
Bucknall, D.G.2
Allen, M.G.3
|