-
1
-
-
85016664946
-
IATAC: A smart predictor to turn-off L2 cache lines
-
J. Abella, A. González, X. Vera, and M. F. P. O'Boyle, "IATAC: a smart predictor to turn-off L2 cache lines," ACM Trans. Architecture Code Optim., Vol. 2, No. 1, 2005.
-
(2005)
ACM Trans. Architecture Code Optim
, vol.2
, Issue.1
-
-
Abella, J.1
González, A.2
Vera, X.3
O'Boyle, M.F.P.4
-
2
-
-
27644571557
-
-
Advanced Micro Devices Corp
-
Advanced Micro Devices Corp. 2002. AMD-K6 Processor Mobile Tech Docs. http://www.amd.com.
-
(2002)
AMD-K6 Processor Mobile Tech Docs
-
-
-
3
-
-
0027192667
-
Column-associative caches: A technique for reducing the miss rate of direct mapped caches
-
IEEE
-
A. Agarwal and S. D. Pudar, "Column-associative caches: a technique for reducing the miss rate of direct mapped caches," in Proc. 20th Int. Symp. on Computer Architecture (ISCA-20), pp. 179-190, IEEE, 1993.
-
(1993)
Proc. 20th Int. Symp. on Computer Architecture (ISCA-20)
, pp. 179-190
-
-
Agarwal, A.1
Pudar, S.D.2
-
4
-
-
0024104573
-
Cache performance of operating systems and multiprogramming
-
Nov, doi:10.1145/48012.48037
-
A. Agarwal, J. Hennesy, and M. Horowitz, "Cache performance of operating systems and multiprogramming," in ACM Transactions on Computer Systems, pp. 393-431, Nov. 1988. doi:10.1145/48012.48037
-
(1988)
ACM Transactions on Computer Systems
, pp. 393-431
-
-
Agarwal, A.1
Hennesy, J.2
Horowitz, M.3
-
9
-
-
0348011359
-
Dynamically tuning processor resources with adaptive processing
-
D. H. Albonesi, R. Balasubramonian, S. Dropsho, S. Dwarkadas, E. G. Friedman, M. C. Huang, V. Kursun, G. Magklis, M. L. Scott, G. Semeraro, P. Bose, A. Buyuktosunoglu, P. W. Cook, and S. Schuster, "Dynamically tuning processor resources with adaptive processing," IEEE Computer, Vol. 36, No. 12, pp. 49-58, 2003.
-
(2003)
IEEE Computer
, vol.36
, Issue.12
, pp. 49-58
-
-
Albonesi, D.H.1
Balasubramonian, R.2
Dropsho, S.3
Dwarkadas, S.4
Friedman, E.G.5
Huang, M.C.6
Kursun, V.7
Magklis, G.8
Scott, M.L.9
Semeraro, G.10
Bose, P.11
Buyuktosunoglu, A.12
Cook, P.W.13
Schuster, S.14
-
10
-
-
0031629408
-
DSP16000: A high performance, low-power dual-MAC DSP core for communications applications
-
M. Alidina, G. Burns, C. Holmqvist, E. Morgan, D. Rhodes, S. Simanapalli, and M. Thierbach, "DSP16000: A high performance, low-power dual-MAC DSP core for communications applications," in Proceedings of the IEEE Custom Integrated Circuits Conference, 1998.
-
(1998)
Proceedings of the IEEE Custom Integrated Circuits Conference
-
-
Alidina, M.1
Burns, G.2
Holmqvist, C.3
Morgan, E.4
Rhodes, D.5
Simanapalli, S.6
Thierbach, M.7
-
11
-
-
0028727716
-
Precomputation-based sequential logic optimization for low power
-
Nov
-
M. Alidina, J. Monteiro, S. Devadas, A. Ghosh, and M. Papefthymiou, "Precomputation-based sequential logic optimization for low power," IEEE/ACM International Conference on Computer-Aided Design, Nov 1994.
-
(1994)
IEEE/ACM International Conference on Computer-Aided Design
-
-
Alidina, M.1
Monteiro, J.2
Devadas, S.3
Ghosh, A.4
Papefthymiou, M.5
-
12
-
-
29144432854
-
Static next sub-bank prediction for drowsy instruction cache
-
doi:10.1145/1023833.1023852
-
B. Allu and W. Zhang, "Static next sub-bank prediction for drowsy instruction cache," in Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp. 124-131, 2004. doi:10.1145/1023833.1023852
-
(2004)
Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 124-131
-
-
Allu, B.1
Zhang, W.2
-
14
-
-
0036049095
-
Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering
-
M. Anis, S. Areibi, M. Mahmoud, and M. Elmasry, "Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering," in Proc. Design Automation Conf (DAC), 2002.
-
(2002)
Proc. Design Automation Conf (DAC)
-
-
Anis, M.1
Areibi, S.2
Mahmoud, M.3
Elmasry, M.4
-
15
-
-
27544493676
-
Mitigating Amdahl's law through EPI throttling
-
doi:10.1109/ISCA.2005.36
-
M. Annavaram, E. Grochowski, and J. P. Shen, "Mitigating Amdahl's law through EPI throttling", in Proc. 32nd Int. Symp. on Computer Architecture (ISCA-32), pp. 298-309, 2005. doi:10.1109/ISCA.2005.36
-
(2005)
Proc. 32nd Int. Symp. on Computer Architecture (ISCA-32)
, pp. 298-309
-
-
Annavaram, M.1
Grochowski, E.2
Shen, J.P.3
-
18
-
-
0141750607
-
Low-leakage asymmetric-cell SRAM
-
Aug
-
N. Azizi, F. N. Najm, and A. Moshovos, "Low-leakage asymmetric-cell SRAM" IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 11, No. 4, Aug. 2003.
-
(2003)
IEEE Trans. Very Large Scale Integration (VLSI) Systems
, vol.11
, Issue.4
-
-
Azizi, N.1
Najm, F.N.2
Moshovos, A.3
-
21
-
-
0034461413
-
Memory hierarchy reconfiguration for energy and performance ingeneral-purpose processor architectures
-
R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas, "Memory hierarchy reconfiguration for energy and performance ingeneral-purpose processor architectures," in Proc. of the 33rd Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-33), 2000.
-
(2000)
Proc. of the 33rd Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-33)
-
-
Balasubramonian, R.1
Albonesi, D.2
Buyuktosunoglu, A.3
Dwarkadas, S.4
-
22
-
-
84948986454
-
Power protocol: Reducing power dissipation on off-chip data buses
-
K. Basu, A. Choudhary, J. Pisharath, and M. Kandemir, "Power protocol: reducing power dissipation on off-chip data buses," in Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35), 2002.
-
(2002)
Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35)
-
-
Basu, K.1
Choudhary, A.2
Pisharath, J.3
Kandemir, M.4
-
24
-
-
0033297639
-
Energy and performance improvements in microprocessor design using a loop cache
-
N. Bellas, I. Hajj, C. Polychronopoulos, and G. Stamoulis, "Energy and performance improvements in microprocessor design using a loop cache," in International Conference on Computer Design (ICCD), 1999.
-
(1999)
International Conference on Computer Design (ICCD)
-
-
Bellas, N.1
Hajj, I.2
Polychronopoulos, C.3
Stamoulis, G.4
-
25
-
-
0033363851
-
Using dynamic cache management techniques to reduce energy in a high-performance processor
-
N. Bellas, I. Hajj, and C. Polychronopoulos, "Using dynamic cache management techniques to reduce energy in a high-performance processor," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), pp. 64-69, 1999.
-
(1999)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
, pp. 64-69
-
-
Bellas, N.1
Hajj, I.2
Polychronopoulos, C.3
-
26
-
-
0032660358
-
Synthesis of low-overhead interfaces for power-efficient communication over wide buses
-
doi:10.1145/309847.309898
-
L. Benini, A. Macii, E. Macii, M. Poncino, and R. Scarsi, "Synthesis of low-overhead interfaces for power-efficient communication over wide buses," in Proc. ACM/IEEE Design Automation Conference (DAC), pp. 128-133, 1999.' doi:10.1145/309847.309898
-
(1999)
Proc. ACM/IEEE Design Automation Conference (DAC)
, pp. 128-133
-
-
Benini, L.1
Macii, A.2
Macii, E.3
Poncino, M.4
Scarsi, R.5
-
27
-
-
0030644909
-
Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems
-
doi: 10.1109/GLSV.1997.580414
-
L. Benini, G. Demicheli, E. Macii, D. Sciuto, and C. Silvano, "Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems," in Proceedings of the Great Lakes Symposium on VLSI, pp. 77-82, 1997. doi: 10.1109/GLSV.1997.580414
-
(1997)
Proceedings of the Great Lakes Symposium on VLSI
, pp. 77-82
-
-
Benini, L.1
Demicheli, G.2
Macii, E.3
Sciuto, D.4
Silvano, C.5
-
28
-
-
84893775814
-
Address bus encoding techniques for system-level power optimization
-
Feb, doi:10.1109/DATE.1998.655959
-
L. Benini, G. De Micheli, E. Macii, D. Sciuto, and C. Silvano, "Address bus encoding techniques for system-level power optimization," in Proc. Design, Automation and Test in Europe (DATE), pp. 861-866, Feb. 1998. doi:10.1109/DATE.1998.655959
-
(1998)
Proc. Design, Automation and Test in Europe (DATE)
, pp. 861-866
-
-
Benini, L.1
De Micheli, G.2
Macii, E.3
Sciuto, D.4
Silvano, C.5
-
29
-
-
0002724860
-
Physics and the information revolution
-
Jan, doi:10.1063/1.882936
-
J. Birnbaum and S. Williams, "Physics and the information revolution," Physics Today, Vol. 54, No. 1, pp. 38-42, Jan. 2000. doi:10.1063/1.882936
-
(2000)
Physics Today
, vol.54
, Issue.1
, pp. 38-42
-
-
Birnbaum, J.1
Williams, S.2
-
30
-
-
0014814325
-
Space/time tradeoffs in hash coding with allowable errors
-
doi:10.1145/362686. 362692
-
B. Bloom, "Space/time tradeoffs in hash coding with allowable errors," Commun. ACM, Vol. 13, No. 7, 1970. doi:10.1145/362686. 362692
-
Commun. ACM
, vol.13
, Issue.7
, pp. 1970
-
-
Bloom, B.1
-
31
-
-
35348909664
-
The high-k solution
-
Oct
-
M. T. Bohr, R. S. Chau, T. Ghani, and K. Mistry, "The high-k solution," IEEE Spectrum, Oct. 2007.
-
(2007)
IEEE Spectrum
-
-
Bohr, M.T.1
Chau, R.S.2
Ghani, T.3
Mistry, K.4
-
32
-
-
0032592096
-
Design challenges of technology scaling
-
Jul-Aug, doi:10.1109/40.782564
-
S. Borkar, "Design challenges of technology scaling," IEEE Micro. Jul-Aug 1999. Vol. 19, No. 4, pp. 23-29, 1999. doi:10.1109/40.782564
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
33
-
-
0041633858
-
Parameter variations and impact on circuits and microarchitecture,
-
June 2-6
-
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, and A. Keshavarzi, and V. De, "Parameter variations and impact on circuits and microarchitecture, " in Proc. Design Automation Conference (DAC), June 2-6, 2003.
-
(2003)
Proc. Design Automation Conference (DAC)
-
-
Borkar, S.1
Karnik, T.2
Narendra, S.3
Tschanz, J.4
Keshavarzi, A.5
De, V.6
-
34
-
-
84948953737
-
Hierarchical scheduling windows
-
E. Brekelbaum, J. II Rupley, C. Wilkerson, and B. Black, "Hierarchical scheduling windows," in Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35), 2002.
-
(2002)
Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35)
-
-
Brekelbaum, E.1
Rupley II, J.2
Wilkerson, C.3
Black, B.4
-
35
-
-
0034316092
-
POWER-AWARE MICROARCHITECTURE: Design and modeling challenges for next-generation microprocessors
-
Nov./Dec
-
D. M. Brooks, P. Bose, S. E. Schuster, H. Jacobson, P. N. Kudva, A. Buyuktosunoglu, J.-D. Wellman, V. Zyuban, M. Gupta, and P. W. Cook, "POWER-AWARE MICROARCHITECTURE: design and modeling challenges for next-generation microprocessors," IEEE Micro, Nov./Dec. 2000.
-
(2000)
IEEE Micro
-
-
Brooks, D.M.1
Bose, P.2
Schuster, S.E.3
Jacobson, H.4
Kudva, P.N.5
Buyuktosunoglu, A.6
Wellman, J.-D.7
Zyuban, V.8
Gupta, M.9
Cook, P.W.10
-
36
-
-
0346898058
-
New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors
-
Nov./Dec
-
D. M. Brooks, P. Bose, V. Srinivasan, M. Gschwind, P. Emma, and M. Rosenfield, "New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors," IBM J. Res. Dev., Nov./Dec. 2003.
-
(2003)
IBM J. Res. Dev
-
-
Brooks, D.M.1
Bose, P.2
Srinivasan, V.3
Gschwind, M.4
Emma, P.5
Rosenfield, M.6
-
39
-
-
85052572308
-
Energy efficient CMOS microprocessor design
-
T. D. Burd and R. W. Brodersen, "Energy efficient CMOS microprocessor design" HICSS, 1995.
-
(1995)
HICSS
-
-
Burd, T.D.1
Brodersen, R.W.2
-
40
-
-
46649096097
-
-
D. Burger, and T. M. Austin, The SimpleScalar tool set, version 2.0, SIGARCH Comput. Arch. News, 25, Jun. 1997
-
D. Burger, and T. M. Austin, "The SimpleScalar tool set, version 2.0," SIGARCH Comput. Arch. News, Vol. 25, Jun. 1997.
-
-
-
-
42
-
-
0034998355
-
A circuit level implementation of an adaptive issue queue for power-aware microprocessors
-
A. Buyuktosunoglu, D. Albonesi, S. Schuster, D. Brooks, P. Bose, and P. Cook, "A circuit level implementation of an adaptive issue queue for power-aware microprocessors," in Proc. Great Lakes Symp. on VLSI Design, 2001.
-
(2001)
Proc. Great Lakes Symp. on VLSI Design
-
-
Buyuktosunoglu, A.1
Albonesi, D.2
Schuster, S.3
Brooks, D.4
Bose, P.5
Cook, P.6
-
43
-
-
0029710803
-
Predictive sequential associative cache
-
doi:10.1109/HPCA.1996.501190
-
B. Calder, D. Grunwald, and J. Emer, "Predictive sequential associative cache," in Proc. 2nd Int. Symp. on High-Performance Computer Architecture (HPCA-2), pp. 244-254, 1996. doi:10.1109/HPCA.1996.501190
-
(1996)
Proc. 2nd Int. Symp. on High-Performance Computer Architecture (HPCA-2)
, pp. 244-254
-
-
Calder, B.1
Grunwald, D.2
Emer, J.3
-
44
-
-
0034460898
-
Very low power pipelines using significance compression
-
Monterrey, CA, Dec
-
R. Canal, A. González, and J. E. Smith, "Very low power pipelines using significance compression" in Proceedings of the 33rd International Symp. on Microarchitecture, pp. 181-190, Monterrey, CA, Dec 2000.
-
(2000)
Proceedings of the 33rd International Symp. on Microarchitecture
, pp. 181-190
-
-
Canal, R.1
González, A.2
Smith, J.E.3
-
45
-
-
0033703284
-
A low-complexity issue logic
-
May, doi:10.1145/335231.335263
-
R. Canal and A. González, "A low-complexity issue logic," in Proc. 2000 Int. Conf on Supercomputing, pp. 327-335, May 2000. doi:10.1145/335231.335263
-
(2000)
Proc. 2000 Int. Conf on Supercomputing
, pp. 327-335
-
-
Canal, R.1
González, A.2
-
47
-
-
0026853681
-
Low-Power CMOS Digital Design
-
April
-
A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, "Low-Power CMOS Digital Design", J. Solid-State Circuits, Vol. 27, No. 4 April 1992, pp. 473-484.
-
(1992)
J. Solid-State Circuits
, vol.27
, Issue.4
, pp. 473-484
-
-
Chandrakasan, A.P.1
Sheng, S.2
Brodersen, R.W.3
-
48
-
-
0023252545
-
Cache design of a sub-micron CMOS System/370
-
IEEE, June
-
J. H. Chang, H. Chao, and K. So, "Cache design of a sub-micron CMOS System/370," in 14th Annual International Symposium on Computer Architecture, SIGARCH Newsletter, 208-213, IEEE, June 1987.
-
(1987)
14th Annual International Symposium on Computer Architecture, SIGARCH Newsletter
, pp. 208-213
-
-
Chang, J.H.1
Chao, H.2
So, K.3
-
49
-
-
1542359161
-
Effectiveness and scalling trends of leakage control techniques for Sub-130 nm CMOS technologies
-
B. Chatterjee, B. Chatterjee, M. Sachdev, S. Hsu, R. Krishnamurthy, and S. Borkar, "Effectiveness and scalling trends of leakage control techniques for Sub-130 nm CMOS technologies," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), 2003.
-
(2003)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
-
-
Chatterjee, B.1
Chatterjee, B.2
Sachdev, M.3
Hsu, S.4
Krishnamurthy, R.5
Borkar, S.6
-
53
-
-
0026626371
-
Multilevel metal capacitance models for CAD design synthesis systems
-
Jan, doi:10.1109/55.144942
-
J. H. Chern, J. Jurang, L. Arledge, P. Li, and P. Yang, "Multilevel metal capacitance models for CAD design synthesis systems," IEEE Electron Dev. Lett., Vol. 13, pp. 32-34, Jan. 1992. doi:10.1109/55.144942
-
(1992)
IEEE Electron Dev. Lett
, vol.13
, pp. 32-34
-
-
Chern, J.H.1
Jurang, J.2
Arledge, L.3
Li, P.4
Yang, P.5
-
54
-
-
0032690815
-
Experimental investigation of an enhanced thermosyphon heat loop for cooling of a high performance electronics module
-
March
-
R. C. Chu, R. E. Simons, and G. M. Chrysler, "Experimental investigation of an enhanced thermosyphon heat loop for cooling of a high performance electronics module," Proc. 15th Semiconductor Thermal Measurement and Management Symposium (IEEE SEMI-THERM), March 1999, pp. 1-9.
-
(1999)
Proc. 15th Semiconductor Thermal Measurement and Management Symposium (IEEE SEMI-THERM)
, pp. 1-9
-
-
Chu, R.C.1
Simons, R.E.2
Chrysler, G.M.3
-
57
-
-
4444379636
-
Design and implementation of the POWER5 Microprocessor
-
J. Clabes, J. Friedrich, M. Sweet, J. DiLullo, S. Chu, D. Plass, J. Dawson, P. Muench, L. Powell, M. Floyd, B. Sinharoy, M. Lee, M. Goulet, J. Wagoner, N. Schwartz, S. Runyon, G. Gorman, P. Restle, R. Kalla, J. McGill, and S. Dodson, "Design and implementation of the POWER5 Microprocessor," in Proc. Design Automation Conference (DAC), 2004.
-
(2004)
Proc. Design Automation Conference (DAC)
-
-
Clabes, J.1
Friedrich, J.2
Sweet, M.3
DiLullo, J.4
Chu, S.5
Plass, D.6
Dawson, J.7
Muench, P.8
Powell, L.9
Floyd, M.10
Sinharoy, B.11
Lee, M.12
Goulet, M.13
Wagoner, J.14
Schwartz, N.15
Runyon, S.16
Gorman, G.17
Restle, P.18
Kalla, R.19
McGill, J.20
Dodson, S.21
more..
-
58
-
-
0035507074
-
An embedded 32-b microprocessor core for low-power and high-performance applications
-
Nov, doi:10.1109/4.962279
-
L. T. Clark, E. J. Hoffman, J. Miller, M. Biyani, L. Liao, S. Strazdus, M. Morrow, K. E. Velarde, and M. A. Yarch, "An embedded 32-b microprocessor core for low-power and high-performance applications," IEEE J. Solid-State Circuits, Vol. 36, No. 11, Nov. 2001. doi:10.1109/4.962279
-
(2001)
IEEE J. Solid-State Circuits
, vol.36
, Issue.11
-
-
Clark, L.T.1
Hoffman, E.J.2
Miller, J.3
Biyani, M.4
Liao, L.5
Strazdus, S.6
Morrow, M.7
Velarde, K.E.8
Yarch, M.A.9
-
59
-
-
3042885249
-
-
Computing in the 21st Century: Nanocircuitry, Defect Tolerance and Quantum Logic [and Discussion], R. S. Williams, B. Christianson, Th. Beth. Philosophical Transactions: Mathematical, Physical and Engineering Sciences, 356, No. 1743, Quantum Computation: Theory andExperiment (Aug. 15, 1998), pp. 1783-1791. doi:10.1098/rsta.1998.0249
-
Computing in the 21st Century: Nanocircuitry, Defect Tolerance and Quantum Logic [and Discussion], R. S. Williams, B. Christianson, Th. Beth. Philosophical Transactions: Mathematical, Physical and Engineering Sciences, Vol. 356, No. 1743, Quantum Computation: Theory andExperiment (Aug. 15, 1998), pp. 1783-1791. doi:10.1098/rsta.1998.0249
-
-
-
-
63
-
-
31144431627
-
Soft error issues in low-power caches
-
Oct, doi:10.1109/TVLSI.2005.859474
-
V. Degalahal, L. Li, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, "Soft error issues in low-power caches," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 13, No. 10, pp. 1157-1166, Oct. 2005. doi:10.1109/TVLSI.2005.859474
-
(2005)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.13
, Issue.10
, pp. 1157-1166
-
-
Degalahal, V.1
Li, L.2
Vijaykrishnan, N.3
Kandemir, M.4
Irwin, M.J.5
-
65
-
-
84865157605
-
TEM2P2EST: A thermal enabled multi-model power/performance ESTimator
-
Nov. 12
-
A. Dhodapkar, C. H. Lim, G. Cai, W. R. Daasch, "TEM2P2EST: a thermal enabled multi-model power/performance ESTimator," Proc. First Int. Workshop on Power-Aware Computer Systems-Revised Papers, pp. 112-125, Nov. 12, 2000.
-
(2000)
Proc. First Int. Workshop on Power-Aware Computer Systems-Revised Papers
, pp. 112-125
-
-
Dhodapkar, A.1
Lim, C.H.2
Cai, G.3
Daasch, W.R.4
-
66
-
-
0033872689
-
-
K. Diefendorff, P. K. Dubey, R. Hochsprung, and H. Scale, AltiVec extension to PowerPC accelerates media processing IEEE Micro, Mar/Apr 2000.
-
K. Diefendorff, P. K. Dubey, R. Hochsprung, and H. Scale, "AltiVec extension to PowerPC accelerates media processing" IEEE Micro, Mar/Apr 2000.
-
-
-
-
67
-
-
33845904113
-
Techniques for multicore thermal management: Classification and new exploration
-
doi:10.1109/ISCA.2006.39
-
J. Donald and M. Martonosi, "Techniques for multicore thermal management: classification and new exploration," in Proc. 33rd Int. Symp. on Computer Architecture (ISCA-33), pp. 78-88, 2006. doi:10.1109/ISCA.2006.39
-
(2006)
Proc. 33rd Int. Symp. on Computer Architecture (ISCA-33)
, pp. 78-88
-
-
Donald, J.1
Martonosi, M.2
-
68
-
-
84948754628
-
Integrating adaptive on-chip storage structures for reduced dynamic power
-
S. Dropsho, A. Buyuktosunoglu, R. Balasubramonian, D. H. Albonesi, S. Dwarkadas, G. Semeraro, G. Magklis, M. L. Scottt, "Integrating adaptive on-chip storage structures for reduced dynamic power," in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2002.
-
(2002)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
-
-
Dropsho, S.1
Buyuktosunoglu, A.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Semeraro, G.6
Magklis, G.7
Scottt, M.L.8
-
69
-
-
79955970060
-
Managing static leakage energy in microprocessor functional units
-
Dec
-
S. Dropsho, V. Kursun, D. H. Albonesi, S. Dwarkadas, and E. G. Friedman, "Managing static leakage energy in microprocessor functional units," in Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35), Dec. 2002.
-
(2002)
Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35)
-
-
Dropsho, S.1
Kursun, V.2
Albonesi, D.H.3
Dwarkadas, S.4
Friedman, E.G.5
-
70
-
-
0036396948
-
Impact of scaling on the effectiveness of dynamic power reduction schemes
-
Freiburg, Germany, pp, Sept
-
D. Duarte, N. Vijaykrishnan, M. J. Irwin, H.-S. Kim, and G. McFarland, "Impact of scaling on the effectiveness of dynamic power reduction schemes," in Proceedings of the 20th International Conference on Computer Design (ICCD), Freiburg, Germany, pp. 16-18, Sept. 2002.
-
(2002)
Proceedings of the 20th International Conference on Computer Design (ICCD)
, pp. 16-18
-
-
Duarte, D.1
Vijaykrishnan, N.2
Irwin, M.J.3
Kim, H.-S.4
McFarland, G.5
-
71
-
-
84869131885
-
The best and worst cities for data centers
-
Oct. 23, 2006 edition
-
D. Dunn, "The best and worst cities for data centers," Information Week, Oct. 23, 2006 edition.
-
Information Week
-
-
Dunn, D.1
-
72
-
-
21644435605
-
Register packing: Exploiting narrow-width operands for reducing register file pressure
-
O. Ergin, D. Balkan, K. Ghose, D. Ponomarev, "Register packing: exploiting narrow-width operands for reducing register file pressure," Proc. 37th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-37), 2004.
-
(2004)
Proc. 37th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-37)
-
-
Ergin, O.1
Balkan, D.2
Ghose, K.3
Ponomarev, D.4
-
73
-
-
84944408150
-
Razor: A low-power pipeline based on circuit-level timing speculation
-
Dec
-
D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, Toan Pham Ziesler, C. Blaauw, D. Austin, T. Flautner, K. Mudge, T, "Razor: a low-power pipeline based on circuit-level timing speculation," in Proc. 36th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-36), Dec. 2003.
-
(2003)
Proc. 36th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-36)
-
-
Ernst, D.1
Kim, N.S.2
Das, S.3
Pant, S.4
Rao, R.5
Toan6
Pham Ziesler, C.7
Blaauw, D.8
Austin, T.9
Flautner, K.10
Mudge, T.11
-
77
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
doi:10.1109/ISCA.2002.1003572
-
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: simple techniques for reducing leakage power," in Proc. of the 29th Int. Symp. on Computer Architecture (ISCA-29), pp. 148-157, 2002. doi:10.1109/ISCA.2002.1003572
-
(2002)
Proc. of the 29th Int. Symp. on Computer Architecture (ISCA-29)
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
78
-
-
0036739848
-
Automatic performance setting for dynamic voltage scaling
-
doi:10.1023/A:1016546330128
-
K. Flautner, S. Reinhardt, and T. Mudge, "Automatic performance setting for dynamic voltage scaling," Wireless Networks Vol. 8, 2002. doi:10.1023/A:1016546330128
-
(2002)
Wireless Networks
, vol.8
-
-
Flautner, K.1
Reinhardt, S.2
Mudge, T.3
-
79
-
-
0032592098
-
Deep-Submicron Microprocessor Design Issues
-
July/Aug, doi:10.1109/40.782563
-
M. J. Flynn, "Deep-Submicron Microprocessor Design Issues," IEEE Micro, Vol. 19, No. 4, July/Aug. 1999, pp. 11-22. doi:10.1109/40.782563
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 11-22
-
-
Flynn, M.J.1
-
82
-
-
4143054872
-
A first glance at Kilo-instruction based multiprocessors, Conf
-
M. Galluzzi, V. Puente, A. Cristal, R. Beivide, J.-A. Gregorio, M. Valero, "A first glance at Kilo-instruction based multiprocessors," Conf, Computing Frontiers, pp. 212-221, 2004.
-
(2004)
Computing Frontiers
, pp. 212-221
-
-
Galluzzi, M.1
Puente, V.2
Cristal, A.3
Beivide, R.4
Gregorio, J.-A.5
Valero, M.6
-
83
-
-
0033358971
-
Reducing power in superscalar processor caches using subbanking, multiple line buffers, and bit-line segmentation
-
K. Ghose and M. B. Kamble, "Reducing power in superscalar processor caches using subbanking, multiple line buffers, and bit-line segmentation," Int. Symp. on Low Power Electronics and Design, 1999.
-
(1999)
Int. Symp. on Low Power Electronics and Design
-
-
Ghose, K.1
Kamble, M.B.2
-
84
-
-
2342591856
-
The Intel Pentium M Processor: Microarchitecture and Performance
-
May
-
S. Gochman, R. Ronen, I. Anati, A. Berkovits, T. Kurts, A. Naveh, A. Saeed, Z. Sperber, and R. Valentine, "The Intel Pentium M Processor: Microarchitecture and Performance," Intel Tech. J., May 2003, pp. 21-36.
-
(2003)
Intel Tech. J
, pp. 21-36
-
-
Gochman, S.1
Ronen, R.2
Anati, I.3
Berkovits, A.4
Kurts, T.5
Naveh, A.6
Saeed, A.7
Sperber, Z.8
Valentine, R.9
-
85
-
-
0030243819
-
Energy dissipation in general purpose microprocessors
-
Sept, doi:10.1109/4.535411
-
R. Gonzalez and M. Horowitz, "Energy dissipation in general purpose microprocessors," IEEE J. Solid-State Circuits, Vol. 31, No. 9, Sept. 1996, pp. 1277-1284. doi:10.1109/4.535411
-
(1996)
IEEE J. Solid-State Circuits
, vol.31
, Issue.9
, pp. 1277-1284
-
-
Gonzalez, R.1
Horowitz, M.2
-
88
-
-
0031594013
-
Confidence estimation for speculation control
-
D. Grunwald, A. Klauser, S. Manne, and A. Pleszkun, "Confidence estimation for speculation control," in Proc. 25th Intl. Symp. on Computer Architecture, (ISCA-25), pp. 122-131, 1998.
-
(1998)
Proc. 25th Intl. Symp. on Computer Architecture, (ISCA-25)
, pp. 122-131
-
-
Grunwald, D.1
Klauser, A.2
Manne, S.3
Pleszkun, A.4
-
89
-
-
85018376784
-
Policies for dynamic clock scheduling
-
D. Grunwald, P. Levis, K. I. Farkas, C. B. Morrey, III, and M. Neufeld, "Policies for dynamic clock scheduling," in Proc. Fourth USENIX Symp on Operating Systems Design and Implementation (OSDI 2000).
-
Proc. Fourth USENIX Symp on Operating Systems Design and Implementation (OSDI 2000)
-
-
Grunwald, D.1
Levis, P.2
Farkas, K.I.3
Morrey III, C.B.4
Neufeld, M.5
-
91
-
-
33846224261
-
Hotspot-limited microprocessors: Direct temperature and power distribution measurements
-
Jan, doi:10.1109/JSSC.2006.885064
-
H. F. Hamann, A. Weger, J. Lacey, Z. Hu, P. Bose, E. Cohen, J. Wakil, "Hotspot-limited microprocessors: direct temperature and power distribution measurements," IEEE J. Solid-State Circuits, Vol. 42 No. 1 Jan. 2007, pp. 56-65. doi:10.1109/JSSC.2006.885064
-
(2007)
IEEE J. Solid-State Circuits
, vol.42
, Issue.1
, pp. 56-65
-
-
Hamann, H.F.1
Weger, A.2
Lacey, J.3
Hu, Z.4
Bose, P.5
Cohen, E.6
Wakil, J.7
-
93
-
-
0042921418
-
Static energy reduction techniques for microprocessor caches
-
June, doi:10.1109/TVLSI.2003.812370
-
H. Hanson, M. S. Hrishikesh, V. Agarwal, S. W. Keckler, and D. Burger, "Static energy reduction techniques for microprocessor caches," IEEE Trans. and Very Large Scale Integration (VLSI) Systems, Vol. 11, No. 3, pp. 303-313, June 2003. doi:10.1109/TVLSI.2003.812370
-
(2003)
IEEE Trans. and Very Large Scale Integration (VLSI) Systems
, vol.11
, Issue.3
, pp. 303-313
-
-
Hanson, H.1
Hrishikesh, M.S.2
Agarwal, V.3
Keckler, S.W.4
Burger, D.5
-
94
-
-
46649086616
-
Static energy reduction techniques in microprocessor caches,
-
Tech Report TR2001-18, Computer Architecture and Technology Laboratory, Department of Computer Sciences, The University of Texas at Austin
-
H. Hanson, S. W. Keckler, and D. Burger, "Static energy reduction techniques in microprocessor caches," Tech Report TR2001-18, Computer Architecture and Technology Laboratory, Department of Computer Sciences, The University of Texas at Austin, 2001.
-
(2001)
-
-
Hanson, H.1
Keckler, S.W.2
Burger, D.3
-
95
-
-
0029492342
-
SH3: High code density, low power
-
Dec, doi:10.1109/40.476254
-
A. Hasegawa, I. Kawasaki, K. Yamada, S. Yoshioka, S. Kawasaki, P. Biswas, "SH3: high code density, low power," IEEE Micro, Vol. 15, No. 6, Dec. 1995. doi:10.1109/40.476254
-
(1995)
IEEE Micro
, vol.15
, Issue.6
-
-
Hasegawa, A.1
Kawasaki, I.2
Yamada, K.3
Yoshioka, S.4
Kawasaki, S.5
Biswas, P.6
-
98
-
-
0036292678
-
Dynamic fine-grain leakage reduction using leakage-biased bitlines
-
May
-
S. Heo, K. Barr, M. Hampton, and K. Asanović, "Dynamic fine-grain leakage reduction using leakage-biased bitlines," in Proceedings of the 29th Annual International Symposium on Computer Architecture, May 2002.
-
(2002)
Proceedings of the 29th Annual International Symposium on Computer Architecture
-
-
Heo, S.1
Barr, K.2
Hampton, M.3
Asanović, K.4
-
99
-
-
0024173488
-
A case for direct-mapped cache
-
Dec
-
M. Hill, "A case for direct-mapped cache," IEEE Computer, Vol. 21, No. 12, pp. 25-40, Dec. 1988.
-
(1988)
IEEE Computer
, vol.21
, Issue.12
, pp. 25-40
-
-
Hill, M.1
-
100
-
-
27544515856
-
Improving program efficiency by packing instructions into registers
-
doi:10.1109/ISCA.2005.32
-
S. Hines, J. Green, G. Tyson, and D. Whalley, "Improving program efficiency by packing instructions into registers," Proc. 32nd Int. Symp. on Computer Architecture (ISCA-32), pp. 260-271, 2005. doi:10.1109/ISCA.2005.32
-
(2005)
Proc. 32nd Int. Symp. on Computer Architecture (ISCA-32)
, pp. 260-271
-
-
Hines, S.1
Green, J.2
Tyson, G.3
Whalley, D.4
-
101
-
-
0028736474
-
Low-power digital design
-
San Diego CA, October, doi:10.1109/LPE.1994.573184
-
M. Horowitz, T, Indermaur, and R. Gonzalez, "Low-power digital design," Proc. IEEE Symp. on Low Power Electronics, San Diego CA, October 1994, pp. 8-11. doi:10.1109/LPE.1994.573184
-
(1994)
Proc. IEEE Symp. on Low Power Electronics
, pp. 8-11
-
-
Horowitz, M.1
Indermaur, T.2
Gonzalez, R.3
-
102
-
-
46649118731
-
-
HotSpot. http://lava.cs.virginia.edu/HotSpot/.
-
HotSpot
-
-
-
103
-
-
0038299650
-
-
C. Hsu, and U. Kremer, The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction, in Proc. of the ACM SIGPLAN 2003 Conf. on Programming Language Design and Implementation (San Diego, CA, USA, June 09-11, 2003), PLDI '03, pp. 38-48. doi:10.1145/781131.781137
-
C. Hsu, and U. Kremer, "The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction", in Proc. of the ACM SIGPLAN 2003 Conf. on Programming Language Design and Implementation (San Diego, CA, USA, June 09-11, 2003), PLDI '03, pp. 38-48. doi:10.1145/781131.781137
-
-
-
-
104
-
-
1542269318
-
Exploiting program hotspots and code sequentiality for instruction cache leakage management
-
J. S. Hu, A. Nadgir, N. Vijaykrishnan, M. J. Irwin, M. Kandemir, "Exploiting program hotspots and code sequentiality for instruction cache leakage management," in Proc. Int. Symp. on Low Power Electronics and Design (ISPLED), 2003.
-
(2003)
Proc. Int. Symp. on Low Power Electronics and Design (ISPLED)
-
-
Hu, J.S.1
Nadgir, A.2
Vijaykrishnan, N.3
Irwin, M.J.4
Kandemir, M.5
-
105
-
-
84932134873
-
Microarchitectural techniques for power gating of execution units
-
Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, and P. Bose, "Microarchitectural techniques for power gating of execution units," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), 2004.
-
(2004)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
-
-
Hu, Z.1
Buyuktosunoglu, A.2
Srinivasan, V.3
Zyuban, V.4
Jacobson, H.5
Bose, P.6
-
106
-
-
46649101393
-
Managing leakage for transient data: Decay and quasi-static memory cells
-
Z. Hu, P. Juang, P. Diodato, S. Kaxiras, K. Skadron, M. Martonosi, D. W. Clark "Managing leakage for transient data: decay and quasi-static memory cells," in Proc. Int. Symp. on Low Power Electronics and Design, 2004.
-
(2004)
Proc. Int. Symp. on Low Power Electronics and Design
-
-
Hu, Z.1
Juang, P.2
Diodato, P.3
Kaxiras, S.4
Skadron, K.5
Martonosi, M.6
Clark, D.W.7
-
108
-
-
0034863715
-
L1 data cache decomposition for energy efficiency
-
M. C. Huang, J. Renau, S.-M. Yoo, and J. Torrellas, "L1 data cache decomposition for energy efficiency," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), pp. 10-15, 2001.
-
(2001)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
, pp. 10-15
-
-
Huang, M.C.1
Renau, J.2
Yoo, S.-M.3
Torrellas, J.4
-
109
-
-
0033363078
-
Way-predicting set-associative cache for high performance and low energy consumption
-
T. Inoue, T. Ishihara, and K. Murakami, "Way-predicting set-associative cache for high performance and low energy consumption," in Proc. of the International Symposium on Low Power Electronics and Design, pp. 273-275, 1999.
-
(1999)
Proc. of the International Symposium on Low Power Electronics and Design
, pp. 273-275
-
-
Inoue, T.1
Ishihara, T.2
Murakami, K.3
-
113
-
-
46649083087
-
-
2005 edition, update
-
International Technology Roadmap for Semiconductors, 2005 edition, 2006 update. http://www.itrs.net/
-
(2006)
-
-
-
116
-
-
36949023020
-
Live, runtime phase monitoring and prediction on real systems with application to dynamic power management
-
Dec
-
C. Isci, G. Contreras, and M. Martonosi, "Live, runtime phase monitoring and prediction on real systems with application to dynamic power management," in Proc. 39th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-39), Dec. 2006.
-
(2006)
Proc. 39th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-39)
-
-
Isci, C.1
Contreras, G.2
Martonosi, M.3
-
117
-
-
0036294823
-
Power and performance evaluation of globally asynchronouslocally synchronous processors
-
doi:10.1109/ISCA.2002.1003573
-
A. Iyer and D. Marculescu, "Power and performance evaluation of globally asynchronouslocally synchronous processors," Proc. 29th Int. Symp. Computer Architecture (ISCA-29), pp. 158-170, 2002. doi:10.1109/ISCA.2002.1003573
-
(2002)
Proc. 29th Int. Symp. Computer Architecture (ISCA-29)
, pp. 158-170
-
-
Iyer, A.1
Marculescu, D.2
-
120
-
-
0027699007
-
A 300-MHz, 115W, 32b bipolar ECL microprocessor
-
Nov, doi:10.1109/4.245601
-
N. P. Jouppi, P. Boyle, J. Dion, M. J. Doherty, A. Eustace, R. W. Haddad, R. Mayo, S. Menon, L. M. Monier, D. Stark, S. Turrini, J. L. Yang, R. Hamburgen, J. S. Fitch, and R. Kao, "A 300-MHz, 115W, 32b bipolar ECL microprocessor," IEEE J Solid-State Circuits, Nov. 1993, pp. 1152-1166. doi:10.1109/4.245601
-
(1993)
IEEE J Solid-State Circuits
, pp. 1152-1166
-
-
Jouppi, N.P.1
Boyle, P.2
Dion, J.3
Doherty, M.J.4
Eustace, A.5
Haddad, R.W.6
Mayo, R.7
Menon, S.8
Monier, L.M.9
Stark, D.10
Turrini, S.11
Yang, J.L.12
Hamburgen, R.13
Fitch, J.S.14
Kao, R.15
-
121
-
-
46649097095
-
Designing, packaging, and testing a 300-MHz, 115 W ECL microprocessor
-
April, doi:10.1109/40.272837
-
N. P. Jouppi, P. Boyle, and J. S. Fitch, "Designing, packaging, and testing a 300-MHz, 115 W ECL microprocessor," IEEE Micro. Vol. 14, No. 2 (April 1994) pp. 50-58. doi:10.1109/40.272837
-
(1994)
IEEE Micro
, vol.14
, Issue.2
, pp. 50-58
-
-
Jouppi, N.P.1
Boyle, P.2
Fitch, J.S.3
-
122
-
-
0029666649
-
The difference-bit cache
-
doi:10.1145/232973.232986
-
T. Juan, T. Lang, and J. J. Navarro, "The difference-bit cache," in Proc. 23rd Annual International Symp. on Computer Architecture (ISCA-23), pp. 114-120, 1996. doi:10.1145/232973.232986
-
(1996)
Proc. 23rd Annual International Symp. on Computer Architecture (ISCA-23)
, pp. 114-120
-
-
Juan, T.1
Lang, T.2
Navarro, J.J.3
-
124
-
-
0034230287
-
Dual-threshold voltage techniques for low-power digital circuits
-
doi:10.1109/4.848210
-
J. Kao and A. Chandrakasan, "Dual-threshold voltage techniques for low-power digital circuits," IEEE J Solid State Circuits Vol. 35, 2000. doi:10.1109/4.848210
-
IEEE J Solid State Circuits
, vol.35
, pp. 2000
-
-
Kao, J.1
Chandrakasan, A.2
-
128
-
-
84932143485
-
4T-decay sensors: A new class of small, fast, robust, and low-power, temperature/leakage sensors
-
S. Kaxiras and P. Xekalakis, "4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors," in Proc. Int. Symp. on Low Power Electronics and Design, 2004.
-
(2004)
Proc. Int. Symp. on Low Power Electronics and Design
-
-
Kaxiras, S.1
Xekalakis, P.2
-
132
-
-
0034878684
-
Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs
-
A. Keshavarzi, S. Ma, S. Nagendra, B. Bloechel, K. Mistry, T. Ghani, S. Borkar, and V. De, "Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), pp. 207-212, 2001.
-
(2001)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
, pp. 207-212
-
-
Keshavarzi, A.1
Ma, S.2
Nagendra, S.3
Bloechel, B.4
Mistry, K.5
Ghani, T.6
Borkar, S.7
De, V.8
-
133
-
-
0024668838
-
Inexpensive implementations of set-associativity
-
May, doi:10.1109/ISCA.1989.714547
-
R. E. Kessler, R. Jooss, A. Lebeck, and M. Hill, "Inexpensive implementations of set-associativity," in Proceedings of the 16th Annual International Symposium on Computer Architecture, pp. 131-139, May 1989. doi:10.1109/ISCA.1989.714547
-
(1989)
Proceedings of the 16th Annual International Symposium on Computer Architecture
, pp. 131-139
-
-
Kessler, R.E.1
Jooss, R.2
Lebeck, A.3
Hill, M.4
-
134
-
-
0032639289
-
The Alpha 21264 microprocessor
-
Mar/Apr, doi: 10.1109/40.755465
-
R. E. Kessler, "The Alpha 21264 microprocessor," IEEE Micro, Vol. 19, No. 2, pp. 24-36, Mar/Apr 1999. doi: 10.1109/40.755465
-
(1999)
IEEE Micro
, vol.19
, Issue.2
, pp. 24-36
-
-
Kessler, R.E.1
-
136
-
-
0346750535
-
Leakage current: Moore's law meets static power
-
N. S. Kim, T. Austin, D. Blaauw, T. Mudge, K. Flautner, J. S. Hu, M. J. Irwin, M. Kandemir, and V. Narayanan, "Leakage current: Moore's law meets static power," Computer, Vol. 36, pp. 68-75, 2003.
-
(2003)
Computer
, vol.36
, pp. 68-75
-
-
Kim, N.S.1
Austin, T.2
Blaauw, D.3
Mudge, T.4
Flautner, K.5
Hu, J.S.6
Irwin, M.J.7
Kandemir, M.8
Narayanan, V.9
-
137
-
-
1642310480
-
Circuit and microarchitectural techniques for reducing cache leakage power
-
Feb
-
N. S. Kim, K. Flautner, D. Blaauw, T. Mudge, "Circuit and microarchitectural techniques for reducing cache leakage power," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Feb. 2004
-
(2004)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
-
-
Kim, N.S.1
Flautner, K.2
Blaauw, D.3
Mudge, T.4
-
138
-
-
84948956783
-
Drowsy instruction caches-leakage power reduction using dynamic voltage scaling
-
Nov
-
N. S. Kim, K. Flautner, D. Blaauw, and T. Mudge, "Drowsy instruction caches-leakage power reduction using dynamic voltage scaling," in Proc. 33rd Annual ACM/IEEE International Symposium on Microarchitecture (MICRO-35), Nov. 2002.
-
(2002)
Proc. 33rd Annual ACM/IEEE International Symposium on Microarchitecture (MICRO-35)
-
-
Kim, N.S.1
Flautner, K.2
Blaauw, D.3
Mudge, T.4
-
140
-
-
0036949388
-
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches
-
C. Kim, D. Burger, and S. W. Keckler, "An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches," in Proc. 10th Int. Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS-10), 2002.
-
(2002)
Proc. 10th Int. Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS-10)
-
-
Kim, C.1
Burger, D.2
Keckler, S.W.3
-
142
-
-
0031336708
-
The filter cache: An energy efficient memory structure
-
J. Kin, M. Gupta, and W. H. Mangione-Smith, "The filter cache: an energy efficient memory structure," in Proc. 30th Annual IEEE/ACM International Symp on Microarchitecture, MICRO-30, 1997.
-
(1997)
Proc. 30th Annual IEEE/ACM International Symp on Microarchitecture, MICRO-30
-
-
Kin, J.1
Gupta, M.2
Mangione-Smith, W.H.3
-
143
-
-
0002104549
-
BSIM3 for analog and digital circuit simulation
-
Jan
-
P. Ko, J. Huang, Z. Liu, and C. Hu, "BSIM3 for analog and digital circuit simulation," in Proc. IEEE Symp. on VLSI Technology CAD, pp. 400-429, Jan. 1993.
-
(1993)
Proc. IEEE Symp. on VLSI Technology CAD
, pp. 400-429
-
-
Ko, P.1
Huang, J.2
Liu, Z.3
Hu, C.4
-
144
-
-
0019583101
-
-
J. Kolodzey, CRAY-1 Computer Technology, IEEE Trans. Components, Packag., Manuf. Technol., Part A, B, C, Jun 1981, 4, No. 2. pp. 181-186.
-
J. Kolodzey, CRAY-1 Computer Technology, IEEE Trans. Components, Packag., Manuf. Technol., Part A, B, C, Jun 1981, Vol. 4, No. 2. pp. 181-186.
-
-
-
-
145
-
-
0034873084
-
Energy-efficient instruction dispatch buffer design for superscalar processors
-
G. Kucuk, K. Ghose, D. V. Ponomarev, and P. M. Kogge, "Energy-efficient instruction dispatch buffer design for superscalar processors," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), 2001.
-
(2001)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
-
-
Kucuk, G.1
Ghose, K.2
Ponomarev, D.V.3
Kogge, P.M.4
-
146
-
-
28244437702
-
Heterogeneous chip multiprocessors
-
Nov
-
R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, "Heterogeneous chip multiprocessors", IEEE Computer, Vol. 38, No. 11, pp. 32- 38, Nov. 2005.
-
(2005)
IEEE Computer
, vol.38
, Issue.11
, pp. 32-38
-
-
Kumar, R.1
Tullsen, D.M.2
Jouppi, N.P.3
Ranganathan, P.4
-
147
-
-
84944403811
-
Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
-
Dec
-
R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, "Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction," in Proc. 36th Annual IEEE/ACM Int. Symp. on Microarchitecture, (MICRO-36), Dec. 2003.
-
(2003)
Proc. 36th Annual IEEE/ACM Int. Symp. on Microarchitecture, (MICRO-36)
-
-
Kumar, R.1
Farkas, K.I.2
Jouppi, N.P.3
Ranganathan, P.4
Tullsen, D.M.5
-
148
-
-
33746695721
-
Exploiting program redundancy to improve performance
-
Ph.D. thesis, N. Carolina State University
-
S. Y. Larin, "Exploiting program redundancy to improve performance." Ph.D. thesis, N. Carolina State University, 2000.
-
(2000)
-
-
Larin, S.Y.1
-
149
-
-
0036286989
-
A large, fast instruction window for tolerating cache misses
-
A. R. Lebeck, J. Koppanalil, T. Li, J. Patwardhan, and E. Rotenberg, "A large, fast instruction window for tolerating cache misses," in Proc. 29th Int. Symp. on Computer Architecture (ISCA-29), 2002.
-
(2002)
Proc. 29th Int. Symp. on Computer Architecture (ISCA-29)
-
-
Lebeck, A.R.1
Koppanalil, J.2
Li, T.3
Patwardhan, J.4
Rotenberg, E.5
-
152
-
-
27944465327
-
Deterministic clock gating for microprocessor power reduction
-
H. Li, S. Bhunia, Y. Chen, T. N. Vijaykumar, and K. Roy, "Deterministic clock gating for microprocessor power reduction," in Proc. 9th Int. Symp. on High-Performance Computer Architecture (HPCA-9), 2003.
-
(2003)
Proc. 9th Int. Symp. on High-Performance Computer Architecture (HPCA-9)
-
-
Li, H.1
Bhunia, S.2
Chen, Y.3
Vijaykumar, T.N.4
Roy, K.5
-
154
-
-
84948762407
-
Leakage Energy Management in Cache Hierarchies
-
doi:10.1109/PACT.2002.1106012
-
L. Li, I. Kadayif, Y. F. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and A. Sivasubramaniam, "Leakage Energy Management in Cache Hierarchies," in Proc. 2002 Inte. Conf. on Parallel Architectures and Compilation Techniques, pp. 131-140, 2002. doi:10.1109/PACT.2002.1106012
-
(2002)
Proc. 2002 Inte. Conf. on Parallel Architectures and Compilation Techniques
, pp. 131-140
-
-
Li, L.1
Kadayif, I.2
Tsai, Y.F.3
Vijaykrishnan, N.4
Kandemir, M.5
Irwin, M.J.6
Sivasubramaniam, A.7
-
155
-
-
3042656888
-
Statepreserving vs. non-state-preserving leakage control in caches
-
Feb
-
Y. Li, D. Parikh, Y. Zhang, K. Sankaranarayanan, M. Stan, and K. Skadron, "Statepreserving vs. non-state-preserving leakage control in caches," in Proc. Conf. on Design, Automation and Test in Europe (DATE), pp. 22-27, Feb. 2004.
-
(2004)
Proc. Conf. on Design, Automation and Test in Europe (DATE)
, pp. 22-27
-
-
Li, Y.1
Parikh, D.2
Zhang, Y.3
Sankaranarayanan, K.4
Stan, M.5
Skadron, K.6
-
159
-
-
31944440969
-
PIN: Building customized program analysis tools with dynamic instrumentation
-
June
-
C.-K. Luk, R. Cohn, R. Muth, R. Muth, H. Patil, A. Kaluser, G. Lowney, S. Wallace, V.J. Reddi, and K. Hazelwood, "PIN: Building customized program analysis tools with dynamic instrumentation", in Proc. of PLDI05, June 2005.
-
(2005)
Proc. of PLDI05
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Muth, R.4
Patil, H.5
Kaluser, A.6
Lowney, G.7
Wallace, S.8
Reddi, V.J.9
Hazelwood, K.10
-
160
-
-
0020180438
-
Special Report-Supercomputers demand innovation in packaging and cooling
-
Sept
-
J. Lyman, "Special Report-Supercomputers demand innovation in packaging and cooling," Electronics, Sept. 1982, pp. 136-143.
-
(1982)
Electronics
, pp. 136-143
-
-
Lyman, J.1
-
163
-
-
0036917242
-
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads
-
S. M. Martin, K. Flautner, T. Mudge, and D. Blaauw, "Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads," in Int. Conf. Computer Aided Design (ICCAD), 2002.
-
(2002)
Int. Conf. Computer Aided Design (ICCAD)
-
-
Martin, S.M.1
Flautner, K.2
Mudge, T.3
Blaauw, D.4
-
164
-
-
28444495894
-
On the limits of leakage power reduction in caches
-
Y. Meng, T. Sherwood, and R. Kastner, "On the limits of leakage power reduction in caches," in Proc. 11th Int. Symp. on High-Performance Computer Architecture (HPCA-11), pp.154-165, 2005.
-
(2005)
Proc. 11th Int. Symp. on High-Performance Computer Architecture (HPCA-11)
, pp. 154-165
-
-
Meng, Y.1
Sherwood, T.2
Kastner, R.3
-
165
-
-
35348901933
-
Power model validation through thermal measurements
-
doi: 10.1145/1250662.1250700
-
F. J. Mesa-Martinez, J. Nayfach-Battilana, and J. Renau, "Power model validation through thermal measurements," in Proc. 34th Int. Symp. on Computer Architecture (ISCA-34), pp. 302-311, 2007. doi: 10.1145/1250662.1250700
-
(2007)
Proc. 34th Int. Symp. on Computer Architecture (ISCA-34)
, pp. 302-311
-
-
Mesa-Martinez, F.J.1
Nayfach-Battilana, J.2
Renau, J.3
-
166
-
-
0034824085
-
Data-flow prescheduling for large instruction windows in out-of-order processors
-
Jan, doi: 10.1109/HPCA.2001.903249
-
P. Michaud and A. Seznec, "Data-flow prescheduling for large instruction windows in out-of-order processors," in Proc. 7th Int. Symp. on High-Performance Computer Architecture (HPCA-7), pp. 27-36, Jan. 2001. doi: 10.1109/HPCA.2001.903249
-
(2001)
Proc. 7th Int. Symp. on High-Performance Computer Architecture (HPCA-7)
, pp. 27-36
-
-
Michaud, P.1
Seznec, A.2
-
167
-
-
46649120169
-
An analytical model of temperature in microprocessors,
-
RR-5744, INRIA, Nov
-
P. Michaud, Y. Sazeides, A. Seznec, T. Constantinous, and D. Fetis, "An analytical model of temperature in microprocessors," Research Report RR-5744, INRIA, Nov. 2005.
-
(2005)
Research Report
-
-
Michaud, P.1
Sazeides, Y.2
Seznec, A.3
Constantinous, T.4
Fetis, D.5
-
169
-
-
0030285348
-
A 160-MHz, 32-b, 0.5 W CMOS RISC microprocessor
-
Nov
-
J. Montanaro, R. T. Witek, K. Anne, A. J. Black, E. M. Cooper, D. W. Dobberpuhl, P. M. Donahue, J. Eno, W. Hoeppner, D. Kruckemyer, T. H. Lee, P. C. M. Lin, L. Madden, D. Murray, M. H. Pearce, S. Santhanam, K. J. Snyder, R. Stehpany, and S. C. Thierauf, "A 160-MHz, 32-b, 0.5 W CMOS RISC microprocessor," IEEE J. Solid-State Circuits, Nov. 1996, Vol. 31, No. 11, pp. 1703-1714.
-
(1996)
IEEE J. Solid-State Circuits
, vol.31
, Issue.11
, pp. 1703-1714
-
-
Montanaro, J.1
Witek, R.T.2
Anne, K.3
Black, A.J.4
Cooper, E.M.5
Dobberpuhl, D.W.6
Donahue, P.M.7
Eno, J.8
Hoeppner, W.9
Kruckemyer, D.10
Lee, T.H.11
Lin, P.C.M.12
Madden, L.13
Murray, D.14
Pearce, M.H.15
Santhanam, S.16
Snyder, K.J.17
Stehpany, R.18
Thierauf, S.C.19
-
170
-
-
33947328378
-
Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors
-
Jan.-June
-
T. Y. Morad, U. C. Weiser, A. Kolodnyt, M. Valero, E. Ayguade. "Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors," Computer Architecture Letters, Vol. 5, No. 1, pp. 14-17, Jan.-June 2006.
-
(2006)
Computer Architecture Letters
, vol.5
, Issue.1
, pp. 14-17
-
-
Morad, T.Y.1
Weiser, U.C.2
Kolodnyt, A.3
Valero, M.4
Ayguade, E.5
-
172
-
-
47349084021
-
Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0
-
Chicago, Dec
-
N. Muralimanohar, R. Balasubramonian, and N. Jouppi, "Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0," in Proc. 40th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-40), Chicago, Dec. 2007.
-
(2007)
Proc. 40th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-40)
-
-
Muralimanohar, N.1
Balasubramonian, R.2
Jouppi, N.3
-
173
-
-
0032287846
-
Working zone encoding for reducing the energy in microprocessor address buses
-
E. Musoll, T. Lang, and J. Cortadella, "Working zone encoding for reducing the energy in microprocessor address buses," IEEE Trans. VLSI Systems, 6(4):568-572, 1998.
-
(1998)
IEEE Trans. VLSI Systems
, vol.6
, Issue.4
, pp. 568-572
-
-
Musoll, E.1
Lang, T.2
Cortadella, J.3
-
174
-
-
0029359285
-
-
S. Mutah, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamada, 1V Power Supply High-Speed Digital Circuit Technology with Multi-Threshold Voltage CMOS, IEEE J Solid-State Circuits, 30, No. 8, pp. pp. 847-853, 1995. doi: 10.1109/4.400426
-
S. Mutah, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamada, "1V Power Supply High-Speed Digital Circuit Technology with Multi-Threshold Voltage CMOS," IEEE J Solid-State Circuits, Vol. 30, No. 8, pp. pp. 847-853, 1995. doi: 10.1109/4.400426
-
-
-
-
175
-
-
46649118525
-
Hiding in Plain Sight, Google Seeks More Power, J. Markoff and S. Hansell,
-
June 14
-
Hiding in Plain Sight, Google Seeks More Power, J. Markoff and S. Hansell, New York Times, June 14,2006.
-
(2006)
New York Times
-
-
-
176
-
-
0029697420
-
Some issues in gray code addressing
-
Mar
-
R. M. Owens, H. Mehta, and M. J. Irwin, "Some issues in gray code addressing," in Proc. Great Lakes Symp. VLSI, Mar. 1996, pp. 178-180.
-
(1996)
Proc. Great Lakes Symp. VLSI
, pp. 178-180
-
-
Owens, R.M.1
Mehta, H.2
Irwin, M.J.3
-
177
-
-
0030676681
-
Complexity-effective superscalar processors
-
June
-
S. Palacharla, N. P. Jouppi, and J. E. Smith, "Complexity-effective superscalar processors," in Proc. 24th Int. Symp. on Computer Architecture, pp. 206-218, June 1997.
-
(1997)
Proc. 24th Int. Symp. on Computer Architecture
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.P.2
Smith, J.E.3
-
178
-
-
0242555935
-
Comparison of state-preserving vs. non-state-preserving leakage control in caches
-
D. Parikh, Y. Zhang, K. Sankaranarayanan, K. Skadron, and M. Stan, "Comparison of state-preserving vs. non-state-preserving leakage control in caches," Workshop on Duplicating, Deconstructing and Debunking (held in conjunction with ISCA-30), pp. 14-25, 2003.
-
(2003)
Workshop on Duplicating, Deconstructing and Debunking (held in conjunction with ISCA-30)
, pp. 14-25
-
-
Parikh, D.1
Zhang, Y.2
Sankaranarayanan, K.3
Skadron, K.4
Stan, M.5
-
180
-
-
0036375949
-
Bloom filtering cache misses for accurate data speculation and prefetching
-
June
-
J-K. Peir, S. Lai, S. LU, J. Stark, and K. Lai, "Bloom filtering cache misses for accurate data speculation and prefetching," in Proc. Int. Conference Supercomputing, June 2002.
-
(2002)
Proc. Int. Conference Supercomputing
-
-
Peir, J.-K.1
Lai, S.2
LU, S.3
Stark, J.4
Lai, K.5
-
181
-
-
33644649522
-
Exploiting temporal locality in drowsy cache policies
-
Ischia, Italy, pp, doi:10.1145/1062261. 1062321
-
S.Petit, J. Sahuquillo, J. M. Such, and D. Kaeli, "Exploiting temporal locality in drowsy cache policies," in Conf. on Computing Frontiers (CF'05), Ischia, Italy, pp. 371-377, 2005. doi:10.1145/1062261. 1062321
-
(2005)
Conf. on Computing Frontiers (CF'05)
, pp. 371-377
-
-
Petit, S.1
Sahuquillo, J.2
Such, J.M.3
Kaeli, D.4
-
182
-
-
0035691607
-
Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources
-
Dec
-
D. Ponomarev, G. Kucuk, and K. Ghose, "Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources," in Proc. of the International Symp. on Microarchitecture, Dec. 2001.
-
(2001)
Proc. of the International Symp. on Microarchitecture
-
-
Ponomarev, D.1
Kucuk, G.2
Ghose, K.3
-
183
-
-
0035693947
-
Reducing set-associative cache energy via way-prediction and selective direct-mapping
-
Dec
-
M. Powell, A. Agrawal, T. Vijaykumar, B. Falsafi, and K. Roy, "Reducing set-associative cache energy via way-prediction and selective direct-mapping," in Proc. 34th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-34), pp. 54-65, Dec. 2001.
-
(2001)
Proc. 34th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-34)
, pp. 54-65
-
-
Powell, M.1
Agrawal, A.2
Vijaykumar, T.3
Falsafi, B.4
Roy, K.5
-
184
-
-
0033645390
-
Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories
-
Rapallo, Italy, pp, doi: 10.1145/344166.344526
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar, "Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories," in International Symposium on Low Power Electronics and Design (ISPLED'00), Rapallo, Italy, pp. 90-95, 2000. doi: 10.1145/344166.344526
-
(2000)
International Symposium on Low Power Electronics and Design (ISPLED'00)
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
187
-
-
0034224812
-
Implementing streaming SIMD extensions on the Pentium III processor
-
Jul/Aug
-
S. K. Raman, V. Pentkovski, and J. Keshava, "Implementing streaming SIMD extensions on the Pentium III processor," IEEE Micro, Jul/Aug 2000.
-
(2000)
IEEE Micro
-
-
Raman, S.K.1
Pentkovski, V.2
Keshava, J.3
-
188
-
-
0032628047
-
A coding framework for low-power address and data busses
-
June
-
S. Ramprasad, N. R. Shanbhang, and I. N. Hajj, "A coding framework for low-power address and data busses," IEEE Trans. VLSI Systems, Vol. 7, No. 2, June, 1991.
-
(1991)
IEEE Trans. VLSI Systems
, vol.7
, Issue.2
-
-
Ramprasad, S.1
Shanbhang, N.R.2
Hajj, I.N.3
-
190
-
-
1542359168
-
Efficient techniques for gate leakage estimation
-
R. M. Rao, J. L. Burns, A. Devgan, and R. B. Brown, "Efficient techniques for gate leakage estimation," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), 2003.
-
(2003)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
-
-
Rao, R.M.1
Burns, J.L.2
Devgan, A.3
Brown, R.B.4
-
191
-
-
0003946111
-
CACTI 2.0: An integrated cache timing and power model,
-
2000/7, Feb, Compaq Western Research Lab
-
G. Reinman and N. P. Jouppi, "CACTI 2.0: an integrated cache timing and power model," Compaq Technical Report #2000/7, Feb. 2000, Compaq Western Research Lab.
-
(2000)
Compaq Technical Report
-
-
Reinman, G.1
Jouppi, N.P.2
-
192
-
-
46649121102
-
A case for a complexity-effective, width-partitioned microarchitecture
-
Sep
-
O. Rochecouste, G. Pokam, and A. Seznec, "A case for a complexity-effective, width-partitioned microarchitecture," ACM Trans. Architecture Code Optim. Vol. 3, No. 3, Sep. 2006.
-
(2006)
ACM Trans. Architecture Code Optim
, vol.3
, Issue.3
-
-
Rochecouste, O.1
Pokam, G.2
Seznec, A.3
-
194
-
-
29244435362
-
High-precision compact-thermal models
-
Dec, doi: 10.1109/TCAPT.2005.859666
-
M.-N. Sabry, "High-precision compact-thermal models," IEEE Trans. Components Packag. Technol, Vol. 28, No. 4, pp. 623-629, Dec. 2005. doi: 10.1109/TCAPT.2005.859666
-
(2005)
IEEE Trans. Components Packag. Technol
, vol.28
, Issue.4
, pp. 623-629
-
-
Sabry, M.-N.1
-
195
-
-
0025415048
-
Alpha-power law MOSFET model and its applications to CMOS inverterdelay and other formulas
-
Apr, doi:10.1109/4.52187
-
T. Sakurai and A. R. Newton, "Alpha-power law MOSFET model and its applications to CMOS inverterdelay and other formulas," IEEE J. Solid-State Circuits, Vol. 25, No. 2, pp. 584-594, Apr. 1990. doi:10.1109/4.52187
-
(1990)
IEEE J. Solid-State Circuits
, vol.25
, Issue.2
, pp. 584-594
-
-
Sakurai, T.1
Newton, A.R.2
-
196
-
-
0030685015
-
Thermal management system for high performance PowerPC™microprocessors
-
COMPCON, IEEE Computer Society, Washington, DC, p
-
H. Sanchez, B. Kuttanna, T. Olson, M. Alexander, G. Gerosa, R. Philip, andJ. Alvarez, "Thermal management system for high performance PowerPC™microprocessors," in Proc. 42nd IEEE Int. Computer Conf. (Feb. 23-26, 1997), COMPCON, IEEE Computer Society, Washington, DC, p. 325.
-
Proc. 42nd IEEE Int. Computer Conf. (Feb. 23-26, 1997)
, pp. 325
-
-
Sanchez, H.1
Kuttanna, B.2
Olson, T.3
Alexander, M.4
Gerosa, G.5
Philip, R.6
andJ7
Alvarez8
-
197
-
-
85087539230
-
Energy-conscious compilation based on voltage scaling
-
H. Saputra, M. Kandemir, N. Vijaykrishnan, M. Irwin, J. Hu, C.-H. Hsu, and U. Kremer. "Energy-conscious compilation based on voltage scaling", in Joint Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'02) and Software and Compilers for Embedded Systems (SCOPES'02).
-
Joint Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'02) and Software and Compilers for Embedded Systems (SCOPES'02)
-
-
Saputra, H.1
Kandemir, M.2
Vijaykrishnan, N.3
Irwin, M.4
Hu, J.5
Hsu, C.-H.6
Kremer, U.7
-
198
-
-
85008056730
-
The danger of interval-based power efficiency metrics: When worst is best
-
doi:10.1109/L-CA.2005.2
-
Y. Sazeides, R. Kumar, D. M. Tullsen, and T. Constantinou, "The danger of interval-based power efficiency metrics: When worst is best," IEEE Computer Architecture Letters, Vol. 4, No. 1, 2005. doi:10.1109/L-CA.2005.2
-
IEEE Computer Architecture Letters
, vol.4
, Issue.1
, pp. 2005
-
-
Sazeides, Y.1
Kumar, R.2
Tullsen, D.M.3
Constantinou, T.4
-
199
-
-
33746585048
-
Dynamic frequency and voltage control for a multiple clock domain microarchitecture
-
G. Semeraro, D. H. Albonesi, S. G. Dropsho, G. Magklis, S. Dwarkadas, and M. L. Scott, "Dynamic frequency and voltage control for a multiple clock domain microarchitecture", Proc. of the 35th Annual ACM/IEEE International Symp. on Microarchitecture, 2002.
-
(2002)
Proc. of the 35th Annual ACM/IEEE International Symp. on Microarchitecture
-
-
Semeraro, G.1
Albonesi, D.H.2
Dropsho, S.G.3
Magklis, G.4
Dwarkadas, S.5
Scott, M.L.6
-
200
-
-
0345272496
-
Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling
-
G. Semeraro, G. Magklis, R. Balasubramonian, D. H. Albonesi, S. Dwarkadas, and M. L. Scott, "Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling," High-Performance Computer Architecture, 2002.
-
(2002)
High-Performance Computer Architecture
-
-
Semeraro, G.1
Magklis, G.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Scott, M.L.6
-
201
-
-
0035691414
-
Reducing power with dynamic critical path information
-
J. S. Seng, E. S. Tune, and D. M. Tullsen, "Reducing power with dynamic critical path information," Micro 34, 2001.
-
(2001)
Micro
, vol.34
-
-
Seng, J.S.1
Tune, E.S.2
Tullsen, D.M.3
-
202
-
-
84944387421
-
Scalable hardware memory disambiguation for high ILP processors
-
Dec
-
S. Sethumadhavan, R. Desikan, D. Burger, C. R. Moore, and S. W. Keckler, "Scalable hardware memory disambiguation for high ILP processors," in Proc. 36th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO), Dec. 2003.
-
(2003)
Proc. 36th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO)
-
-
Sethumadhavan, S.1
Desikan, R.2
Burger, D.3
Moore, C.R.4
Keckler, S.W.5
-
204
-
-
2342635671
-
CACTI 3.0: An integrated cache timing, power, and area model,
-
P. Shivakumar and N. P. Jouppi. "CACTI 3.0: An integrated cache timing, power, and area model," WRL Research Report, 2001.
-
(2001)
WRL Research Report
-
-
Shivakumar, P.1
Jouppi, N.P.2
-
205
-
-
0033680440
-
High-perfomance low-power CMOS circuits using multiple channel length and multiple oxide thickness
-
N. Sirisantana, L. Wei, and K. Roy, "High-perfomance low-power CMOS circuits using multiple channel length and multiple oxide thickness," in Proc. ICCD, 2000.
-
(2000)
Proc. ICCD
-
-
Sirisantana, N.1
Wei, L.2
Roy, K.3
-
206
-
-
0038684860
-
Temperature-Aware Microarchitecture
-
June
-
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. "Temperature-Aware Microarchitecture," in Proc. 30th Int. Symp. on Computer Architecture (ISCA-30), pp. 2-13, June 2003.
-
(2003)
Proc. 30th Int. Symp. on Computer Architecture (ISCA-30)
, pp. 2-13
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
207
-
-
0024034266
-
Cache operations by MRU change
-
June, doi: 10.1109/12.2208
-
K. So and R. N. Rechtschaffen, "Cache operations by MRU change," IEEE Trans, on Comput, Vol. 37, No. 6, pp. 700-709, June 1988. doi: 10.1109/12.2208
-
(1988)
IEEE Trans, on Comput
, vol.37
, Issue.6
, pp. 700-709
-
-
So, K.1
Rechtschaffen, R.N.2
-
210
-
-
0034863487
-
Micro-operation cache: A power aware frontend for variable instruction length ISA
-
B. Solomon, A. Mendelson, D. Orenstien, Y. Almog, and R. Ronen, "Micro-operation cache: a power aware frontend for variable instruction length ISA," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), 2001.
-
(2001)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
-
-
Solomon, B.1
Mendelson, A.2
Orenstien, D.3
Almog, Y.4
Ronen, R.5
-
211
-
-
84948974161
-
Optimizing pipelines for power and performance
-
Nov
-
V. Srinivasan, D. Brooks, M. Gschwind, P. Bose, V. Zyuban, P. N Strenski, and P. G. Emma, "Optimizing pipelines for power and performance," in Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35), Nov. 2002.
-
(2002)
Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35)
-
-
Srinivasan, V.1
Brooks, D.2
Gschwind, M.3
Bose, P.4
Zyuban, V.5
Strenski, P.N.6
Emma, P.G.7
-
212
-
-
35048834531
-
Bus-invert coding for low power I/O
-
March, doi:10.1109/92.365453
-
M. R. Stan and W. P. Burleson, "Bus-invert coding for low power I/O," IEEE Trans. VLSI Systems, Vol. 3, No. 1, March 1995. doi:10.1109/92.365453
-
(1995)
IEEE Trans. VLSI Systems
, vol.3
, Issue.1
-
-
Stan, M.R.1
Burleson, W.P.2
-
214
-
-
46649111252
-
Tunable bus encoder for off-chip data buses
-
D. C. Suresh, B. Agrawal, J. Yang, and W. Najjar, "Tunable bus encoder for off-chip data buses," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), 2001.
-
(2001)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
-
-
Suresh, D.C.1
Agrawal, B.2
Yang, J.3
Najjar, W.4
-
215
-
-
18844448917
-
Power efficient encoding techniques for off-chip data buses
-
D. C. Suresh, B. Agrawal, J. Yang, W. Najjar, and L. Bhuyan, "Power efficient encoding techniques for off-chip data buses," International Conference on Compilers, Architecture, and Synthesis of Embedded Systems (CASES), 2003.
-
(2003)
International Conference on Compilers, Architecture, and Synthesis of Embedded Systems (CASES)
-
-
Suresh, D.C.1
Agrawal, B.2
Yang, J.3
Najjar, W.4
Bhuyan, L.5
-
216
-
-
18744363054
-
Toward a multiple clock/voltage island design style for power-aware processors
-
doi:10.1109/TVLSI.2005.844305
-
E. Talpes and D. Marculescu, "Toward a multiple clock/voltage island design style for power-aware processors," IEEE Trans. VLSI Syst Vol 13, No. 5, pp. 591-603, 2005. doi:10.1109/TVLSI.2005.844305
-
(2005)
IEEE Trans. VLSI Syst
, vol.13
, Issue.5
, pp. 591-603
-
-
Talpes, E.1
Marculescu, D.2
-
217
-
-
34547664408
-
CACTI 4.0,
-
HPL-2006-86, June
-
D. Tarjan, S. Thoziyoor, and N. P. Jouppi, "CACTI 4.0," Hewlett-Packard Laboratories Technical Report #HPL-2006-86, June 2006.
-
(2006)
Hewlett-Packard Laboratories Technical Report
-
-
Tarjan, D.1
Thoziyoor, S.2
Jouppi, N.P.3
-
218
-
-
0032183716
-
Guarded evaluation: Pushing power management to logic-synthesis/design computer-aided design of integrated circuits and systems
-
Oct
-
V. Tiwari, S. Malik, and P. Ashar, "Guarded evaluation: Pushing power management to logic-synthesis/design computer-aided design of integrated circuits and systems," IEEE Trans, Vol. 17, No. 10, pp. 1051-1060, Oct. 1998.
-
(1998)
IEEE Trans
, vol.17
, Issue.10
, pp. 1051-1060
-
-
Tiwari, V.1
Malik, S.2
Ashar, P.3
-
219
-
-
0347894347
-
Adaptive cache decay using formal feedback control
-
S. Velusamy, K. Sankaranarayanan, D. Parikh, T. Abdelzaher, and K. Skadron, "Adaptive cache decay using formal feedback control," in Proc. Workshop on Memory Performance Issues, 2002, in Conjunction with ISCA-29, 2002.
-
(2002)
Proc. Workshop on Memory Performance Issues, 2002, in Conjunction with ISCA-29
-
-
Velusamy, S.1
Sankaranarayanan, K.2
Parikh, D.3
Abdelzaher, T.4
Skadron, K.5
-
220
-
-
0033700756
-
Energy-driven integrated hardware-software optimizations using simplepower
-
June
-
N. Vijaykrishnan, M. Kandemir, M. J. Irwin, H. S. Kim, and W. Ye, "Energy-driven integrated hardware-software optimizations using simplepower," in 27th Annual International Symposium on Computer Architecture, June 2000.
-
(2000)
27th Annual International Symposium on Computer Architecture
-
-
Vijaykrishnan, N.1
Kandemir, M.2
Irwin, M.J.3
Kim, H.S.4
Ye, W.5
-
221
-
-
0034461412
-
-
L. Villa, M. Zhang, and K. Asanović, Dynamic zero compression for cache energy reduction, in 33rd International Symposium on Microarchitecture, MICRO-33, 2000. cache energy reduction, in Proc. 33rd Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-33), 2000.
-
L. Villa, M. Zhang, and K. Asanović, "Dynamic zero compression for cache energy reduction," in 33rd International Symposium on Microarchitecture, MICRO-33, 2000. cache energy reduction," in Proc. 33rd Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-33), 2000.
-
-
-
-
222
-
-
0031635596
-
Design and optimization of low voltage high performance dual threshold CMOS circuits
-
L. Wei, Z. Chen, M. Johnson, and K. Roy, "Design and optimization of low voltage high performance dual threshold CMOS circuits," in Proc. 35th Annual Conference on Design Automation (DAC), 1998.
-
(1998)
Proc. 35th Annual Conference on Design Automation (DAC)
-
-
Wei, L.1
Chen, Z.2
Johnson, M.3
Roy, K.4
-
223
-
-
85029600625
-
Scheduling for reduced CPU energy
-
M. Weiser, B. Welch, A. J. Demers, and S. Shenker, "Scheduling for reduced CPU energy," in Operating Systems Design and Implementation, pp. 13-23, 1994.
-
(1994)
Operating Systems Design and Implementation
, pp. 13-23
-
-
Weiser, M.1
Welch, B.2
Demers, A.J.3
Shenker, S.4
-
224
-
-
0030149507
-
-
S. J. E. Wilton and N. P. C. Jouppi, An enhanced cache access and cycle time model, IEEE J Solid-State Circuits, 1996.
-
S. J. E. Wilton and N. P. C. Jouppi, "An enhanced cache access and cycle time model," IEEE J Solid-State Circuits, 1996.
-
-
-
-
225
-
-
84910652234
-
A model for estimating trace-sample miss ratios
-
San Diego, CA, May, doi:10.1145/107971.107981
-
D. A. Wood, M. D. Hill, and R. E. Kessler, "A model for estimating trace-sample miss ratios," in Proc. ACM SIGMETRICS Conference on Measurement & Modeling Computer Systems, pp. 79-89, San Diego, CA, May 1991. doi:10.1145/107971.107981
-
(1991)
Proc. ACM SIGMETRICS Conference on Measurement & Modeling Computer Systems
, pp. 79-89
-
-
Wood, D.A.1
Hill, M.D.2
Kessler, R.E.3
-
226
-
-
33644928947
-
A dynamic compilation framework for controlling microprocessor energyand performance
-
Q. Wu, V. J. Reddi, Y. Wu, J. Lee, D. Connors, D. Brooks, M. Martonosi, and D. W. Clark, "A dynamic compilation framework for controlling microprocessor energyand performance", in Proc. 38th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-38), pp. 271-282, 2005.
-
(2005)
Proc. 38th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-38)
, pp. 271-282
-
-
Wu, Q.1
Reddi, V.J.2
Wu, Y.3
Lee, J.4
Connors, D.5
Brooks, D.6
Martonosi, M.7
Clark, D.W.8
-
227
-
-
33644926093
-
Dynamic compiler driven control for microprocessor energy and performance
-
Feb
-
O. Wu, M. Martonosi, D. W. Clark, V. J. Reddi, D. Connors, Y. Wu, J. Lee, and D. Brooks, "Dynamic compiler driven control for microprocessor energy and performance", in IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences, Vol. 26, No. 1, Feb. 2006.
-
(2006)
IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences
, vol.26
, Issue.1
-
-
Wu, O.1
Martonosi, M.2
Clark, D.W.3
Reddi, V.J.4
Connors, D.5
Wu, Y.6
Lee, J.7
Brooks, D.8
-
228
-
-
12844283854
-
Formal online methods for voltage/frequency control in multiple clock domain microprocessors
-
doi: 10.1145/1024393.1024423
-
Q. Wu, P. Juang, M. Martonosi, and D. W. Clark, "Formal online methods for voltage/frequency control in multiple clock domain microprocessors," in Proc. 11th Int. Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS-11), pp. 248-259, 2004. doi: 10.1145/1024393.1024423
-
(2004)
Proc. 11th Int. Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS-11)
, pp. 248-259
-
-
Wu, Q.1
Juang, P.2
Martonosi, M.3
Clark, D.W.4
-
229
-
-
0037702246
-
Compile-time dynamic voltage scaling settings: Opportunities and limits
-
San Diego, CA, USA, June 09-11, PLDI '03, pp
-
F. Xie, M. Martonosi, and S. Malik, "Compile-time dynamic voltage scaling settings: opportunities and limits," in Vroc of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation (San Diego, CA, USA, June 09-11, 2003), PLDI '03, pp. 49-62.
-
(2003)
Vroc of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation
, pp. 49-62
-
-
Xie, F.1
Martonosi, M.2
Malik, S.3
-
230
-
-
84982859985
-
Intraprogram dynamic voltage scaling: Bounding opportunities with analytic modeling
-
Sep
-
F. Xie, M. Martonosi, and S. Malik, "Intraprogram dynamic voltage scaling: bounding opportunities with analytic modeling," ACM Trans. Archit. Code Optim. Vol 1, No. 3 (Sep. 2004), pp. 323-367.
-
(2004)
ACM Trans. Archit. Code Optim
, vol.1
, Issue.3
, pp. 323-367
-
-
Xie, F.1
Martonosi, M.2
Malik, S.3
-
231
-
-
22544455956
-
Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems
-
July
-
L. Yan, J. Luo, and N. K. Jha, "Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems," IEEE Trans. Computer-Aided Des. Integrated Circuits Systems, Vol. 24, No. 7, July 2005.
-
(2005)
IEEE Trans. Computer-Aided Des. Integrated Circuits Systems
, vol.24
, Issue.7
-
-
Yan, L.1
Luo, J.2
Jha, N.K.3
-
232
-
-
16244366468
-
HotSpot cache: Joint temporal and spatial locality exploitation for I-cache energy reduction
-
C. Yang and C. H. Lee, "HotSpot cache: joint temporal and spatial locality exploitation for I-cache energy reduction," in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), pp. 114-119, 2004.
-
(2004)
Proc. Int. Symp. on Low Power Electronics and Design (ISLPED)
, pp. 114-119
-
-
Yang, C.1
Lee, C.H.2
-
237
-
-
0034462656
-
Frequent value compression in data caches
-
Monterey, CA, December
-
J. Yang, Y. Zhang, and R. Gupta, "Frequent value compression in data caches," in Proc. 33rd IEEE/ACM International Symposium on Microarchitecture, Monterey, CA, December 2000.
-
(2000)
Proc. 33rd IEEE/ACM International Symposium on Microarchitecture
-
-
Yang, J.1
Zhang, Y.2
Gupta, R.3
-
239
-
-
0034825598
-
An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches
-
S.-H. Yang, M. D. Powell, B. Falsafi, K. Roy, and T. N. Vijaykumar, "An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches," in Proc. Symposium on High Performance Computer Architecture, 2001.
-
(2001)
Proc. Symposium on High Performance Computer Architecture
-
-
Yang, S.-H.1
Powell, M.D.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
240
-
-
0031635212
-
A new technique for standby leakage reduction in high performance circuits
-
Y. Ye, S. Borkar, and V. De, "A new technique for standby leakage reduction in high performance circuits," in IEEE symposium on VLSI circuits, pp. 40-41, 1998.
-
(1998)
IEEE symposium on VLSI circuits
, pp. 40-41
-
-
Ye, Y.1
Borkar, S.2
De, V.3
-
241
-
-
84932085957
-
A way-halting cache for low-energy high-performance systems
-
C. Zhang, F. Vahin, J. Yangm, and W. Najjar, "A way-halting cache for low-energy high-performance systems," in Proc. of the International Symposium on Low Power Electronics andDesign(ISLPED), 2004.
-
(2004)
Proc. of the International Symposium on Low Power Electronics andDesign(ISLPED)
-
-
Zhang, C.1
Vahin, F.2
Yangm, J.3
Najjar, W.4
-
242
-
-
0031232542
-
Two fast and high-associativity cache schemes
-
doi:10.1109/40.621212
-
C. Zhang, X. Zhang, and Y. Yan, "Two fast and high-associativity cache schemes." IEEE Micro, Vol. 17, No. 5, pp. 40-49,1997. doi:10.1109/40.621212
-
(1997)
IEEE Micro
, vol.17
, Issue.5
, pp. 40-49
-
-
Zhang, C.1
Zhang, X.2
Yan, Y.3
-
245
-
-
1542300175
-
ICR: In-cache replication for enhancing data cache reliability
-
W. Zhang, S. Gurumurthi, M. Kandemir, and A. Sivasubramaniam, "ICR: in-cache replication for enhancing data cache reliability," in Proc. Int. Conf. on Dependable Systems and Networks, 2003.
-
(2003)
Proc. Int. Conf. on Dependable Systems and Networks
-
-
Zhang, W.1
Gurumurthi, S.2
Kandemir, M.3
Sivasubramaniam, A.4
-
246
-
-
84948993747
-
Compiler-directed instruction cache leakage optimization
-
W. Zhang, J. S. Hu, V. Degalahal, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin, "Compiler-directed instruction cache leakage optimization," in Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35), 2002.
-
(2002)
Proc. 35th Annual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35)
-
-
Zhang, W.1
Hu, J.S.2
Degalahal, V.3
Kandemir, M.4
Vijaykrishnan, N.5
Irwin, M.J.6
-
247
-
-
34249306904
-
HotLeakage: An architectural, temperature-aware model of subthreshold and gate leakage,
-
Tech. Report CS-2003-05, CS Dept, University of Virginia, Mar
-
Y. Zhang, D. Parikh, K. Sankaranarayanan, K. Skadron, and M. Stan, "HotLeakage: an architectural, temperature-aware model of subthreshold and gate leakage," Tech. Report CS-2003-05, CS Dept, University of Virginia, Mar. 2003.
-
(2003)
-
-
Zhang, Y.1
Parikh, D.2
Sankaranarayanan, K.3
Skadron, K.4
Stan, M.5
-
249
-
-
0036504804
-
Access-mode predictions for low-power cache design
-
Z. Zhu and X. Zhang, "Access-mode predictions for low-power cache design," IEEE Micro, Vol. 22, No. 2, pp. 58-71, 2002.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 58-71
-
-
Zhu, Z.1
Zhang, X.2
-
250
-
-
0035177403
-
Adaptive mode control: A static-power-efficient cache design
-
Sept
-
H. Zhou, M. C. Toburen, E. Rotenberg, and T. M. Conte, "Adaptive mode control: a static-power-efficient cache design," in Proc. PACT2001, Sept. 2001.
-
(2001)
Proc. PACT2001
-
-
Zhou, H.1
Toburen, M.C.2
Rotenberg, E.3
Conte, T.M.4
-
251
-
-
3242680845
-
Integrated analysis of power and performance of pipelined microprocessors
-
Aug, doi:10.1109/TC.2004.46
-
V. Zyuban, D. Brooks, V. Srinivasan, M. Gschwind, P. Bose, P. N. Strenski, and P. G. Emma, "Integrated analysis of power and performance of pipelined microprocessors," IEEE Trans. Comput, Vol. 53, No. 8, Aug. 2004. doi:10.1109/TC.2004.46
-
(2004)
IEEE Trans. Comput
, vol.53
, Issue.8
-
-
Zyuban, V.1
Brooks, D.2
Srinivasan, V.3
Gschwind, M.4
Bose, P.5
Strenski, P.N.6
Emma, P.G.7
|