-
1
-
-
0344551144
-
Power efficient data cache designs
-
San Jose, CA, October 2003
-
Abella, J. and Gonzáez, A. 2003. Power efficient data cache designs. In Proceedings of the IEEE 21st International Conference on Computer Design (ICCD'03), San Jose, CA, October 2003, 8-13.
-
(2003)
Proceedings of the IEEE 21st International Conference on Computer Design (ICCD'03)
, pp. 8-13
-
-
Abella, J.1
Gonzáez, A.2
-
2
-
-
0036051046
-
DRG-cache: A data retention gated-ground cache for low power
-
New Orleans, LA, June 2002
-
Agarwal, A., Li, H., and Roy, K. 2002. DRG-cache: A data retention gated-ground cache for low power. In Proceedings of the ACM/IEEE 39th Design Automation Conference (DAC'02), New Orleans, LA, June 2002, 473-478.
-
(2002)
Proceedings of the ACM/IEEE 39th Design Automation Conference (DAC'02)
, pp. 473-478
-
-
Agarwal, A.1
Li, H.2
Roy, K.3
-
4
-
-
0034461413
-
Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures
-
Monterey, CA, Dec. 2000
-
Balasubramonian, R., Albonesi, D., Buyuktosunoglu, A., and Dwarkadas, S. 2000. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. In Proceedings of the ACM/IEEE 33rd International Symposium on Microarchitecture (MICRO'00), Monterey, CA, Dec. 2000, 245-257.
-
(2000)
Proceedings of the ACM/IEEE 33rd International Symposium on Microarchitecture (MICRO'00)
, pp. 245-257
-
-
Balasubramonian, R.1
Albonesi, D.2
Buyuktosunoglu, A.3
Dwarkadas, S.4
-
5
-
-
0035177456
-
Reactive-associative caches
-
Barcelona, Spain, Sept.
-
Batson, B. and Vijaykumar, T. N. 2001. Reactive-associative caches. In Proceedings of the IEEE 10th International Conference on Parallel Architectures and Compilation Techniques (PACT01), Barcelona, Spain, Sept. 2001, 49-60.
-
(2001)
Proceedings of the IEEE 10th International Conference on Parallel Architectures and Compilation Techniques (PACT01)
, vol.2001
, pp. 49-60
-
-
Batson, B.1
Vijaykumar, T.N.2
-
6
-
-
0034316092
-
Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors
-
(Nov.-Dec.)
-
Brooks, D. M., Bose, P., Schuster, S. E., Jacobson, H., Kudva, P. N., Buyuktosunoglu, A., Wellman, J. D., Zyuban, V., Gupta, M., and Cook, P. W. 2000a. Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors. IEEE Micro 20, 6 (Nov.-Dec.), 26-44.
-
(2000)
IEEE Micro
, vol.20
, Issue.6
, pp. 26-44
-
-
Brooks, D.M.1
Bose, P.2
Schuster, S.E.3
Jacobson, H.4
Kudva, P.N.5
Buyuktosunoglu, A.6
Wellman, J.D.7
Zyuban, V.8
Gupta, M.9
Cook, P.W.10
-
7
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
Vancouver, Canada, June
-
Brooks, D., Tiwari, V., and Martonosi, M. 2000b. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the ACM/IEEE 27th International Symposium on Computer Architecture (ISCA'00), Vancouver, Canada, June 2000, 83-94.
-
(2000)
Proceedings of the ACM/IEEE 27th International Symposium on Computer Architecture (ISCA'00)
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
8
-
-
0003744045
-
The simpleScalar tool set, version 3.0
-
Computer Sciences Department, University of Wisconsin-Madison, 1999.
-
Burger, D. and Austin, T. 1999. The simpleScalar tool set, version 3.0. Technical Report, Computer Sciences Department, University of Wisconsin-Madison, 1999.
-
(1999)
Technical Report
-
-
Burger, D.1
Austin, T.2
-
9
-
-
0038346240
-
Energy efficient co-adaptive instruction fetch and issue
-
San Diego, CA, June 2003
-
Buyuktosunoglu, A., Karkhanis, T., Albonesi, D. H., and Bose, P. 2003. Energy efficient co-adaptive instruction fetch and issue. In Proceedings of the ACM/IEEE 30th International Symposium on Computer Architecture (ISCA03), San Diego, CA, June 2003, 147-156.
-
(2003)
Proceedings of the ACM/IEEE 30th International Symposium on Computer Architecture (ISCA03)
, pp. 147-156
-
-
Buyuktosunoglu, A.1
Karkhanis, T.2
Albonesi, D.H.3
Bose, P.4
-
10
-
-
0029710803
-
Predictive sequential associative cache
-
San Jose, CA, Feb. 1996
-
Calder, B., Grunwald, J., and Emer, J. 1996. Predictive sequential associative cache. In Proceedings of the IEEE 2nd International Symposium on High-Performance Computer Architecture (HPCA96), San Jose, CA, Feb. 1996, 244-253.
-
(1996)
Proceedings of the IEEE 2nd International Symposium on High-Performance Computer Architecture (HPCA96)
, pp. 244-253
-
-
Calder, B.1
Grunwald, J.2
Emer, J.3
-
11
-
-
84948754628
-
Integrating adaptive on-chip storage structures for reduced dynamic power
-
Charlottesville, VA, Sept. 2002
-
Dropsho, S., Buyuktosunoglu, A., Balasubramonian, R., Albonesi, D. H., Dwarkadas, S., Semeraro, G., Magklis, G., and Scott, M. L. 2002. Integrating adaptive on-chip storage structures for reduced dynamic power. In Proceedings of the IEEE 11th International Conference on Parallel Architectures and Compilation Techniques (PACT'02), Charlottesville, VA, Sept. 2002, 141-152.
-
(2002)
Proceedings of the IEEE 11th International Conference on Parallel Architectures and Compilation Techniques (PACT'02)
, pp. 141-152
-
-
Dropsho, S.1
Buyuktosunoglu, A.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Semeraro, G.6
Magklis, G.7
Scott, M.L.8
-
12
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
Anchorage, AK, May 2002
-
Flautner, K., Kim, N. S., Martin, S., Blaauw, D., and Mudge, T. 2002. Drowsy caches: Simple techniques for reducing leakage power. In Proceedings of the ACM/IEEE 29th International Symposium on Computer Architecture (ISCA02), Anchorage, AK, May 2002, 148-157.
-
(2002)
Proceedings of the ACM/IEEE 29th International Symposium on Computer Architecture (ISCA02)
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
13
-
-
0033358971
-
Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation
-
San Diego, CA, Aug. 1999
-
Ghose, K. and Kamble, M. B. 1999. Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'99), San Diego, CA, Aug. 1999, 70-75.
-
(1999)
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'99)
, pp. 70-75
-
-
Ghose, K.1
Kamble, M.B.2
-
14
-
-
0031212817
-
Supply and threshold voltage scaling for low power CMOS
-
(Aug.)
-
Gonzalez, R., Gordon, B. M., and Horowitz, M. A., 1997. Supply and threshold voltage scaling for low power CMOS. IEEE Journal of Solid-State Circuits 32, 8 (Aug.), 1210-1216.
-
(1997)
IEEE Journal of Solid-State Circuits
, vol.32
, Issue.8
, pp. 1210-1216
-
-
Gonzalez, R.1
Gordon, B.M.2
Horowitz, M.A.3
-
15
-
-
0036292678
-
Dynamic fine-grain leakage reduction using leakage-biased bitlines
-
Anchorage, AK, May 2002
-
Heo, S., Barr, K., Hampton, M., and Asanovic, K. 2002. Dynamic fine-grain leakage reduction using leakage-biased bitlines. In Proceedings of the ACM/IEEE 29th International Symposium on Computer Architecture (ISCA'02), Anchorage, AK, May 2002, 137-147.
-
(2002)
Proceedings of the ACM/IEEE 29th International Symposium on Computer Architecture (ISCA'02)
, pp. 137-147
-
-
Heo, S.1
Barr, K.2
Hampton, M.3
Asanovic, K.4
-
16
-
-
0033715405
-
A comparative study of power efficient SRAM designs
-
Evanston, IL, Mar. 2000
-
Hezavei, J., Vijaykdrishnan, N., and Irwin, M. J. 2000. A comparative study of power efficient SRAM designs. In Proceedings of the ACM 10th Great Lakes Symposium on VLSI (GLSVLSI'00), Evanston, IL, Mar. 2000, 117-122.
-
(2000)
Proceedings of the ACM 10th Great Lakes Symposium on VLSI (GLSVLSI'00)
, pp. 117-122
-
-
Hezavei, J.1
Vijaykdrishnan, N.2
Irwin, M.J.3
-
17
-
-
0345757132
-
Let caches decay: Reducing leakage energy via exploitation of cache generational behavior
-
(May)
-
Hu Z., Kaxiras, S., and Martonosi, M. 2002. Let caches decay: Reducing leakage energy via exploitation of cache generational behavior. ACM Transactions on Computer Systems 20, 2 (May), 161-190.
-
(2002)
ACM Transactions on Computer Systems
, vol.20
, Issue.2
, pp. 161-190
-
-
Hu, Z.1
Kaxiras, S.2
Martonosi, M.3
-
18
-
-
0034863715
-
L1 data cache decomposition for energy efficiency
-
Huntington Beach, CA, Aug. 2001
-
Huang, M., Renau, J., Yoo, S. M., and Torrellas, J. 2001. L1 data cache decomposition for energy efficiency. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'01), Huntington Beach, CA, Aug. 2001, 10-15.
-
(2001)
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'01)
, pp. 10-15
-
-
Huang, M.1
Renau, J.2
Yoo, S.M.3
Torrellas, J.4
-
19
-
-
0033363078
-
Way-predictive set-associative cache for high performance and low energy consumption
-
San Diego, CA, Aug. 1999
-
Inoue, K., Ishihara, T., and Murakami, K. 1999. Way-predictive set-associative cache for high performance and low energy consumption. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'99), San Diego, CA, Aug. 1999, 273-275.
-
(1999)
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'99)
, pp. 273-275
-
-
Inoue, K.1
Ishihara, T.2
Murakami, K.3
-
20
-
-
0029288557
-
Trends in low-power RAM circuit technologies
-
(Apr.)
-
Itoh, K., Sasaki, K., and Nakagome, Y. 1995. Trends in low-power RAM circuit technologies. Proceedings of the IEEE 83, 4 (Apr.), 524-543.
-
(1995)
Proceedings of the IEEE
, vol.83
, Issue.4
, pp. 524-543
-
-
Itoh, K.1
Sasaki, K.2
Nakagome, Y.3
-
21
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
Göteborg, Sweden, June 2001
-
Kaxiras, S., Hu Z., and Martonosi, M. 2001. Cache decay: Exploiting generational behavior to reduce cache leakage power. In Proceedings of the ACM/IEEE 28th International Symposium on Computer Architecture (ISCA'01), Göteborg, Sweden, June 2001, 240-251.
-
(2001)
Proceedings of the ACM/IEEE 28th International Symposium on Computer Architecture (ISCA'01)
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
22
-
-
16244419042
-
Single-VDD and Single-VT super-drowsy techniques for low-leakage high-performance instruction caches
-
Newport Beach, CA, Aug. 2004
-
Kim, N. S., Flautner, K., Blaauw, D., and Mudge, T. 2004. Single-VDD and Single-VT super-drowsy techniques for low-leakage high-performance instruction caches. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'04), Newport Beach, CA, Aug. 2004, 54-57.
-
(2004)
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'04)
, pp. 54-57
-
-
Kim, N.S.1
Flautner, K.2
Blaauw, D.3
Mudge, T.4
-
23
-
-
0031336708
-
The filter cache: An energy efficient memory structure
-
Research Triangle Park, North Carolina, Dec. 1997
-
Kin J., Gupta, M., and Mangione-Smith, W. H. 1997. The filter cache: An energy efficient memory structure. In Proceedings of the ACM/IEEE 30th International Symposium on Microarchitecture (MICRO'97), Research Triangle Park, North Carolina, Dec. 1997, 184-193.
-
(1997)
Proceedings of the ACM/IEEE 30th International Symposium on Microarchitecture (MICRO'97)
, pp. 184-193
-
-
Kin, J.1
Gupta, M.2
Mangione-Smith, W.H.3
-
24
-
-
0032023709
-
Variable supply-voltage scheme for low-power high-speed CMOS digital design
-
(Mar.)
-
Kuroda, T., Suzuki, K., Mita, S., Fujita, T., Yamane, F., Sano, F., Chiba, A., Watanabe, Y., Matsuda, K., Maeda, T., Sakurai, T., and Furuyama, T. 1998. Variable supply-voltage scheme for low-power high-speed CMOS digital design. IEEE Journal of Solid-State Circuits 33, 3, (Mar.), 454-462.
-
(1998)
IEEE Journal of Solid-State Circuits
, vol.33
, Issue.3
, pp. 454-462
-
-
Kuroda, T.1
Suzuki, K.2
Mita, S.3
Fujita, T.4
Yamane, F.5
Sano, F.6
Chiba, A.7
Watanabe, Y.8
Matsuda, K.9
Maeda, T.10
Sakurai, T.11
Furuyama, T.12
-
25
-
-
16244375550
-
Soft error and energy consumption interactions: A data cache perspective
-
Newport Beach, CA, Aug. 2004
-
Li L., Degalahal, V., Vijaykrishnan, N., Kandemir, M., and Irwin, M. J. 2004. Soft error and energy consumption interactions: A data cache perspective. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'04), Newport Beach, CA, Aug. 2004, 132-137.
-
(2004)
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'04)
, pp. 132-137
-
-
Li, L.1
Degalahal, V.2
Vijaykrishnan, N.3
Kandemir, M.4
Irwin, M.J.5
-
26
-
-
84948762407
-
Leakage energy management in cache hierarchies
-
Charlottesville, VA, Sept. 2002
-
Li L., Kadayif, I., Tsai, Y.-F., Vijaykrishnan, N., Kandemir, M., Irwin, M. J., and Sivasubramaniam, A. 2002. Leakage energy management in cache hierarchies. in Proceedings of the IEEE 11th International Conference in Parallel Architectures and Compilation Techniques (PACT'02), Charlottesville, VA, Sept. 2002, 131-140.
-
(2002)
Proceedings of the IEEE 11th International Conference in Parallel Architectures and Compilation Techniques (PACT'02)
, pp. 131-140
-
-
Li, L.1
Kadayif, I.2
Tsai, Y.-F.3
Vijaykrishnan, N.4
Kandemir, M.5
Irwin, M.J.6
Sivasubramaniam, A.7
-
27
-
-
0035693947
-
Reducing set-associative cache energy via way-prediction and selective direct-mapping
-
Austin, TX, Dec. 2001
-
Powell, M., Agarwal, A., Vijaykumar, T. N., Falsafi, B., and Roy, K. 2001. Reducing set-associative cache energy via way-prediction and selective direct-mapping. In Proceedings of the ACM/IEEE 34th International Symposium on Microarchitecture (MICRO'01), Austin, TX, Dec. 2001, 54-65.
-
(2001)
Proceedings of the ACM/IEEE 34th International Symposium on Microarchitecture (MICRO'01)
, pp. 54-65
-
-
Powell, M.1
Agarwal, A.2
Vijaykumar, T.N.3
Falsafi, B.4
Roy, K.5
-
28
-
-
0033672408
-
Gated-VDD: A circuit technique to reduce leakage in deep-submicron cache memories
-
Rapallo (italy), July 2000
-
Powell, M., Yang, S. H., Falsafi, B., Roy, K., and Vijaykumar, T. N. 2000. Gated-VDD: A circuit technique to reduce leakage in deep-submicron cache memories. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED 00), Rapallo (italy), July 2000, 90-95.
-
(2000)
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED 00)
, pp. 90-95
-
-
Powell, M.1
Yang, S.H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
29
-
-
0003450887
-
CACTI 3.0: An Integrated Cache Timing, Power and Area Model
-
WRL, Palo Alto, CA (USA), 2001
-
Shivakumar, P. and Jouppi, N. P. 2001. CACTI 3.0: An Integrated Cache Timing, Power and Area Model. Research Report 2001/2, WRL, Palo Alto, CA (USA), 2001.
-
(2001)
Research Report 2001/2
-
-
Shivakumar, P.1
Jouppi, N.P.2
-
31
-
-
0029192697
-
Cache design trade-offs for power and performance optimization: A case study
-
Dana Pt., CA, Apr. 1995
-
Su, C. L. and Despain, A. M. 1995. Cache design trade-offs for power and performance optimization: A case study. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'95), Dana Pt., CA, Apr. 1995, 63-68.
-
(1995)
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'95)
, pp. 63-68
-
-
Su, C.L.1
Despain, A.M.2
-
32
-
-
84948961559
-
Energy efficient frequent value data cache design
-
Istanbul, Turkey, Nov. 2002
-
Yang, J. and Gupta, R. 2002. Energy efficient frequent value data cache design. In Proceedings of the ACM/IEEE 35th International Symposium on Microarchitecture (MICRO'02), Istanbul, Turkey, Nov. 2002, 197-207.
-
(2002)
Proceedings of the ACM/IEEE 35th International Symposium on Microarchitecture (MICRO'02)
, pp. 197-207
-
-
Yang, J.1
Gupta, R.2
-
33
-
-
0038684781
-
A highly configurable cache architecture for embedded systems
-
San Diego, CA, June 2003
-
Zhang, C., Vahid, F. and Najjar, W. 2003. A highly configurable cache architecture for embedded systems. In Proceedings of the ACM/IEEE 30th International Symposium on Computer Architecture (ISCA03), San Diego, CA, June 2003, 136-146.
-
(2003)
Proceedings of the ACM/IEEE 30th International Symposium on Computer Architecture (ISCA03)
, pp. 136-146
-
-
Zhang, C.1
Vahid, F.2
Najjar, W.3
-
34
-
-
84948993747
-
Compiler-directed instruction cache leakage optimization
-
Istanbul, Turkey, Nov. 2002
-
Zhang, W., Hu J. S., Degalahal, V., Kandemir, M., Vijaykrishnan, N., and Irwin, M. J. 2002. Compiler-directed instruction cache leakage optimization. In Proceedings of the ACM/IEEE 35th International Symposium on Microarchitecture (MICRO'02), Istanbul, Turkey, Nov. 2002, 208-218.
-
(2002)
Proceedings of the ACM/IEEE 35th International Symposium on Microarchitecture (MICRO'02)
, pp. 208-218
-
-
Zhang, W.1
Hu, J.S.2
Degalahal, V.3
Kandemir, M.4
Vijaykrishnan, N.5
Irwin, M.J.6
-
35
-
-
0035177403
-
Adaptive mode control: A static-power-efficient cache design
-
Barcelona, Spain, Sept. 2001
-
Zhou, H., Toburen, M., Rotenberg, E., and Conte, T. 2001. Adaptive mode control: A static-power-efficient cache design. In Proceedings of the IEEE 10th International Conference on Parallel Architectures and Compilation Techniques (PACT'01), Barcelona, Spain, Sept. 2001, 61-70.
-
(2001)
Proceedings of the IEEE 10th International Conference on Parallel Architectures and Compilation Techniques (PACT'01)
, pp. 61-70
-
-
Zhou, H.1
Toburen, M.2
Rotenberg, E.3
Conte, T.4
-
37
-
-
84917161790
-
Semiconductor Industry Association (SIA)
-
http://public.itrs.net/files/2001ITRS/
-
SIA 2001. Semiconductor Industry Association (SIA). International Technology Roadmap for Semiconductors 2001. http://public.itrs.net/files/2001ITRS/
-
(2001)
International Technology Roadmap for Semiconductors 2001
-
-
-
38
-
-
85024278023
-
-
http://www.specbench.org/osg/cpu2000/
-
SPEC. 2000. http://www.specbench.org/osg/cpu2000/
-
(2000)
-
-
|