-
1
-
-
33744465478
-
Computer architecture: Challenges and opportunities for the next decade
-
München, Germany, June (Keynote presentation)
-
T. Agerwala. Computer architecture: Challenges and opportunities for the next decade. In International Symposium on Computer Architecture, München, Germany, June 2004. (Keynote presentation).
-
(2004)
International Symposium on Computer Architecture
-
-
Agerwala, T.1
-
2
-
-
27544493676
-
Mitigating Amdahl's Law through EPI throttling
-
Madison, Wisconsin, June
-
M. Annavaram, E. Grochowski, and J. Shen. Mitigating Amdahl's Law through EPI throttling. In International Symposium on Computer Architecture, pages 298-309, Madison, Wisconsin, June 2005.
-
(2005)
International Symposium on Computer Architecture
, pp. 298-309
-
-
Annavaram, M.1
Grochowski, E.2
Shen, J.3
-
3
-
-
33748848240
-
Platform 2015: Intel processor and platform evolution for the next decade
-
Intel White Paper, Mar.
-
S. Y. Borkar. Platform 2015: Intel processor and platform evolution for the next decade. Technical report, Intel White Paper, Mar. 2005.
-
(2005)
Technical Report
-
-
Borkar, S.Y.1
-
4
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
Vancouver, Canada, June
-
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In International Symposium on Computer Architecture, pages 83-94, Vancouver, Canada, June 2000.
-
(2000)
International Symposium on Computer Architecture
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
5
-
-
0029220272
-
Adaptive parallelism and Piranha
-
Jan.
-
N. Carriero, E. Freeman, D. Gelernter, and D. Kaminsky. Adaptive parallelism and Piranha. IEEE Computer, 28(1):40-49, Jan. 1995.
-
(1995)
IEEE Computer
, vol.28
, Issue.1
, pp. 40-49
-
-
Carriero, N.1
Freeman, E.2
Gelernter, D.3
Kaminsky, D.4
-
6
-
-
0026853681
-
Low-power CMOS digital design
-
Apr.
-
A. Chandrakasan, S. Sheng, and R. W. Brodersen. Low-power CMOS digital design. IEEE Journal of Solid-State Circuits, 27(4): 473-484, Apr. 1992.
-
(1992)
IEEE Journal of Solid-State Circuits
, vol.27
, Issue.4
, pp. 473-484
-
-
Chandrakasan, A.1
Sheng, S.2
Brodersen, R.W.3
-
7
-
-
33750048240
-
Thermal-effective clustered microarchitectures
-
München, Germany, June
-
P. Chaparro, J. Gonzalez, and A. Gonzalez. Thermal-effective clustered microarchitectures. In Workshop on Temperature-Aware Computer Systems, München, Germany, June 2004.
-
(2004)
Workshop on Temperature-aware Computer Systems
-
-
Chaparro, P.1
Gonzalez, J.2
Gonzalez, A.3
-
10
-
-
33748846527
-
Temperature-aware design issues for SMT and CMP architectures
-
München, Germany, June
-
J. Donald and M. Martonosi. Temperature-aware design issues for SMT and CMP architectures. In Workshop on ComplexityEffective Design, München, Germany, June 2004.
-
(2004)
Workshop on ComplexityEffective Design
-
-
Donald, J.1
Martonosi, M.2
-
11
-
-
84944745122
-
Performance and power impact of issue-width in chip-multiprocessor cores
-
Kaohsiung, Taiwan, Oct.
-
M. Ekman and P. Stenström. Performance and power impact of issue-width in chip-multiprocessor cores. In International Conference on Parallel Processing, pages 359-368, Kaohsiung, Taiwan, Oct. 2003.
-
(2003)
International Conference on Parallel Processing
, pp. 359-368
-
-
Ekman, M.1
Stenström, P.2
-
12
-
-
2342577992
-
Energy-efficient server clusters
-
Cambridge, MA, Feb.
-
E. N. Elnozahy, M. Kistler, and R. Rajamony. Energy-efficient server clusters. In Workshop on Power Aware Computing Systems, pages 179-196, Cambridge, MA, Feb. 2002.
-
(2002)
Workshop on Power Aware Computing Systems
, pp. 179-196
-
-
Elnozahy, E.N.1
Kistler, M.2
Rajamony, R.3
-
13
-
-
57749187163
-
Energy conservation policies for web servers
-
Seattle, WA, Mar.
-
E. N. Elnozahy, M. Kistler, and R. Rajamony. Energy conservation policies for web servers. In USENIX Symposium on Internet Technologies and Systems, Seattle, WA, Mar. 2003.
-
(2003)
USENIX Symposium on Internet Technologies and Systems
-
-
Elnozahy, E.N.1
Kistler, M.2
Rajamony, R.3
-
14
-
-
33744479926
-
Design choices for thermal control in dual-core processors
-
München, Germany, June
-
S. Ghiasi and D. Grunwald. Design choices for thermal control in dual-core processors. In Workshop on Complexity-Effective Design, München, Germany, June 2004.
-
(2004)
Workshop on Complexity-effective Design
-
-
Ghiasi, S.1
Grunwald, D.2
-
15
-
-
17644370078
-
Best of both latency and throughput
-
San Jose, CA, Oct.
-
E. Grochowski, R. Ronen, J. Shen, and H. Wang. Best of both latency and throughput. In International Conference on Computer Design, pages 236-243, San Jose, CA, Oct. 2004.
-
(2004)
International Conference on Computer Design
, pp. 236-243
-
-
Grochowski, E.1
Ronen, R.2
Shen, J.3
Wang, H.4
-
16
-
-
33748852684
-
Adaptive parallelism in compiler-parallelized code
-
Stanford University, CA, Aug.
-
M. Hall and M. Martonosi. Adaptive parallelism in compiler-parallelized code. In SUIF Compiler Workshop, Stanford University, CA, Aug. 1997.
-
(1997)
SUIF Compiler Workshop
-
-
Hall, M.1
Martonosi, M.2
-
19
-
-
0035187053
-
Exploring the design space of future CMPs
-
Barcelona, Spain, Sept.
-
J. Huh, D. Burger, and S. W. Keckler. Exploring the design space of future CMPs. In International Conference on Parallel Architectures and Compilation Techniques, pages 199-210, Barcelona, Spain, Sept. 2001.
-
(2001)
International Conference on Parallel Architectures and Compilation Techniques
, pp. 199-210
-
-
Huh, J.1
Burger, D.2
Keckler, S.W.3
-
22
-
-
0036045542
-
An integer linear programming based approach for parallelizing applications in on-chip multiprocessors
-
New Orleans, LA, June
-
I. Kadayif, M. Kandemir, and U. Sezer. An integer linear programming based approach for parallelizing applications in on-chip multiprocessors. In IEEE/ACMDesign Automation Conference, pages 703-708, New Orleans, LA, June 2002.
-
(2002)
IEEE/ACMDesign Automation Conference
, pp. 703-708
-
-
Kadayif, I.1
Kandemir, M.2
Sezer, U.3
-
23
-
-
3042662150
-
Exploiting processor workload heterogeneity for reducing energy consumption in chip multiprocessors
-
Paris, France, Feb.
-
I. Kadayif, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin. Exploiting processor workload heterogeneity for reducing energy consumption in chip multiprocessors. In Design, Automation and Test in Europe, pages 1158-1163, Paris, France, Feb. 2004.
-
(2004)
Design, Automation and Test in Europe
, pp. 1158-1163
-
-
Kadayif, I.1
Kandemir, M.2
Vijaykrishnan, N.3
Irwin, M.J.4
-
24
-
-
79958185679
-
Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads
-
Atlanta, Georgia, Nov.
-
S. Kaxiras, G. Narlikar, A. D. Berenbaum, and Z. Hu. Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. In International Conference on Compilers, Architecture, and Systhesis for Embedded Systems, pages 211-220, Atlanta, Georgia, Nov. 2001.
-
(2001)
International Conference on Compilers, Architecture, and Systhesis for Embedded Systems
, pp. 211-220
-
-
Kaxiras, S.1
Narlikar, G.2
Berenbaum, A.D.3
Hu, Z.4
-
25
-
-
33748882483
-
Platform 2015 software: Enabling innovation in parallelism for the next decade
-
Intel White Paper, Mar.
-
D. J. Kuck. Platform 2015 software: Enabling innovation in parallelism for the next decade. Technical report, Intel White Paper, Mar. 2005.
-
(2005)
Technical Report
-
-
Kuck, D.J.1
-
26
-
-
84944403811
-
Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
-
San Diego, CA, Dec.
-
R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In International Symposium on Microarchitecture, pages 81-92, San Diego, CA, Dec. 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 81-92
-
-
Kumar, R.1
Farkas, K.I.2
Jouppi, N.P.3
Ranganathan, P.4
Tullsen, D.M.5
-
27
-
-
4644370318
-
Single-ISA heterogeneous multi-core architectures for multithreaded workload performance
-
München, Germany, June
-
R. Kumar, D. M. Tullsen, P. Ranganathan, N. P. Jouppi, and K. I. Farkas. Single-ISA heterogeneous multi-core architectures for multithreaded workload performance. In International Symposium on Computer Architecture, pages 64-75, München, Germany, June 2004.
-
(2004)
International Symposium on Computer Architecture
, pp. 64-75
-
-
Kumar, R.1
Tullsen, D.M.2
Ranganathan, P.3
Jouppi, N.P.4
Farkas, K.I.5
-
28
-
-
22844454762
-
A scalable parallel algorithm for self-organizing maps with applications to sparse data mining problems
-
Sept.
-
R. D. Lawrence, G. S. Almasi, and H. E. Rushmeier. A scalable parallel algorithm for self-organizing maps with applications to sparse data mining problems. Data Mining and Knowledge Discovery, 3(2): 171-195, Sept. 1999.
-
(1999)
Data Mining and Knowledge Discovery
, vol.3
, Issue.2
, pp. 171-195
-
-
Lawrence, R.D.1
Almasi, G.S.2
Rushmeier, H.E.3
-
30
-
-
2342508313
-
The Thrifty Barrier: Energy-aware synchronization in shared-memory multiprocessors
-
Madrid, Spain, Feb.
-
J. Li, J. F. Martínez, and M. C. Huang. The Thrifty Barrier: Energy-aware synchronization in shared-memory multiprocessors. In International Symposium on High-Performance Computer Architecture, pages 14-23, Madrid, Spain, Feb. 2004.
-
(2004)
International Symposium on High-Performance Computer Architecture
, pp. 14-23
-
-
Li, J.1
Martínez, J.F.2
Huang, M.C.3
-
31
-
-
33749052315
-
The ALPBench benchmark suite for complex multimedia applications
-
Austin, TX, Oct.
-
M.-L. Li, R. Sasanka, S. V. Adve, Y.-K. Chen, and E. Debes. The ALPBench benchmark suite for complex multimedia applications. In IEEE International Symposium on Workload Characterization, Austin, TX, Oct. 2006.
-
(2006)
IEEE International Symposium on Workload Characterization
-
-
Li, M.-L.1
Sasanka, R.2
Adve, S.V.3
Chen, Y.-K.4
Debes, E.5
-
32
-
-
28444470490
-
Performance, energy, and temperature considerations for SMT and CMP architectures
-
San Francisco, CA, Feb.
-
Y. Li, D. Brooks, Z. Hu, and K. Skadron. Performance, energy, and temperature considerations for SMT and CMP architectures. In International Symposium on High-Performance Computer Architecture, San Francisco, CA, Feb. 2005.
-
(2005)
International Symposium on High-performance Computer Architecture
-
-
Li, Y.1
Brooks, D.2
Hu, Z.3
Skadron, K.4
-
33
-
-
33744483338
-
Thermal management of CPUs: A perspective on trends, needs and opportunities
-
Madrid, Spain, Oct. Keynote presentation
-
R. Majan. Thermal management of CPUs: A perspective on trends, needs and opportunities. In International Workshop on Thermal Investigations of ICs and Systems, Madrid, Spain, Oct. 2002. Keynote presentation.
-
(2002)
International Workshop on Thermal Investigations of ICs and Systems
-
-
Majan, R.1
-
34
-
-
0034825054
-
JETTY: Filtering snoops for reduced energy consumption in SMP servers
-
Nuevo Leone, Mexico, Jan.
-
A. Moshovos, G. Memik, B. Falsafi, and A. Choudhary. JETTY: Filtering snoops for reduced energy consumption in SMP servers. In International Symposium on High-Performance Computer Architecture, pages 85-96, Nuevo Leone, Mexico, Jan. 2001.
-
(2001)
International Symposium on High-Performance Computer Architecture
, pp. 85-96
-
-
Moshovos, A.1
Memik, G.2
Falsafi, B.3
Choudhary, A.4
-
35
-
-
28144441409
-
The implementation of a 2-core multi-threaded itanium-family processor
-
San Francisco, CA, Feb.
-
S. Naffziger, B. Stackhouse, and T. Grutkowski. The implementation of a 2-core multi-threaded itanium-family processor. In IEEE International Solid-State Circuits Conference, San Francisco, CA, Feb. 2005.
-
(2005)
IEEE International Solid-State Circuits Conference
-
-
Naffziger, S.1
Stackhouse, B.2
Grutkowski, T.3
-
36
-
-
84883305019
-
-
OpenMP Architecture Review Board. OpenMP Specifications, http://www.openmp.org.
-
OpenMP Specifications
-
-
-
38
-
-
0346715418
-
Load balancing and unbalancing for power and performance in cluster-based systems
-
Barcelona, Spain, Sept.
-
E. Pinheiro, R. Bianchini, E. Carrera, and T. Heath. Load balancing and unbalancing for power and performance in cluster-based systems. In International Workshop on Compilers and Operating Systems for Low Power, Barcelona, Spain, Sept. 2001.
-
(2001)
International Workshop on Compilers and Operating Systems for Low Power
-
-
Pinheiro, E.1
Bianchini, R.2
Carrera, E.3
Heath, T.4
-
41
-
-
8344233355
-
Comparing the energy efficiency of CMP and SMT architectures for multimedia workloads
-
Malo, France, June-July
-
R. Sasanka, S. V. Adve, Y Chen, and E. Debes. Comparing the energy efficiency of CMP and SMT architectures for multimedia workloads. In International Conference on Supercomputing, pages 196-206, Malo, France, June-July 2004.
-
(2004)
International Conference on Supercomputing
, pp. 196-206
-
-
Sasanka, R.1
Adve, S.V.2
Chen, Y.3
Debes, E.4
-
42
-
-
0033696388
-
Power-sensitive multithreaded architecture
-
Austin, Texas, Sept.
-
J. S. Seng, D. M. Tullsen, and G. Z. N. Cai. Power-sensitive multithreaded architecture. In International Conference on Computer Design, pages 199-208, Austin, Texas, Sept. 2000.
-
(2000)
International Conference on Computer Design
, pp. 199-208
-
-
Seng, J.S.1
Tullsen, D.M.2
Cai, G.Z.N.3
-
43
-
-
0038027389
-
Temperature-aware microarchitecture: Extended discussion and results
-
University of Virginia, Apr.
-
K. Skadron, M. Stan, W. Huang, and S. Velusamy. Temperature-aware microarchitecture: Extended discussion and results. Technical Report CS-2003-08, University of Virginia, Apr. 2003.
-
(2003)
Technical Report
, vol.CS-2003-08
-
-
Skadron, K.1
Stan, M.2
Huang, W.3
Velusamy, S.4
-
44
-
-
0030149507
-
CACTI: An enhanced cache access and cycle time model
-
May
-
S. Wilton and N. Jouppi. CACTI: An enhanced cache access and cycle time model. IEEE Journal of Solid-State Circuits, 31(5):677-688, May 1996.
-
(1996)
IEEE Journal of Solid-State Circuits
, vol.31
, Issue.5
, pp. 677-688
-
-
Wilton, S.1
Jouppi, N.2
-
45
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
Santa Margherita Ligure, Italy, June
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In International Symposium on Computer Architecture, pages 24-36, Santa Margherita Ligure, Italy, June 1995.
-
(1995)
International Symposium on Computer Architecture
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
|