메뉴 건너뛰기




Volumn 1, Issue 1, 2011, Pages 5-18

Overcoming variations in nanometer-scale technologies

Author keywords

On chip sensors; power supply variations; process variations; thermal variations; thermally aware design

Indexed keywords

ON-CHIP SENSORS; POWER SUPPLY VARIATIONS; PROCESS VARIATIONS; THERMAL VARIATIONS; THERMALLY AWARE DESIGN;

EID: 79957847236     PISSN: 21563357     EISSN: None     Source Type: Journal    
DOI: 10.1109/JETCAS.2011.2138250     Document Type: Article
Times cited : (59)

References (136)
  • 1
    • 4444272791 scopus 로고    scopus 로고
    • Design and reliability challenges in nanometer technologies
    • S. Borkar, "Design and reliability challenges in nanometer technologies," in Proc. ACM/IEEE Design Autom. Conf., 2004, p. 75.
    • (2004) Proc. ACM/ IEEE Design Autom. Conf. , pp. 75
    • Borkar, S.1
  • 3
    • 0032028948 scopus 로고    scopus 로고
    • Study of the manufacturing feasibility of 1.5-nm direct-tunneling gate oxide MOSFET's: Uniformity, reliability, and dopant penetration of the gate oxide
    • PII S0018938398016712
    • H. S. Momose, S.-I. Nakamura, T. Ohguro, T. Yoshitomi, E. Morifuji, T. Morimoto, Y. Katsumata, and H. Iwai, "Study of the manufacturing feasibility of 1.5-nm direct-tunneling gate oxide MOSFETs: Uniformity, reliability, and dopant penetration of the gate oxide," IEEE Trans. Electron Devices, vol. 45, no. 3, pp. 691-700, Mar. 1998. (Pubitemid 128736601)
    • (1998) IEEE Transactions on Electron Devices , vol.45 , Issue.3 , pp. 691-700
    • Momose, H.S.1    Nakamura, S.-I.2    Ohguro, T.3    Yoshitomi, T.4    Morifuji, E.5    Morimoto, T.6    Katsumata, Y.7    Iwai, H.8
  • 5
    • 0346778721 scopus 로고    scopus 로고
    • Statistical timing analysis considering spatial correlations using a single PERT-like traversal
    • H. Chang and S. S. Sapatnekar, "Statistical timing analysis considering spatial correlations using a single PERT-like traversal," in Proc. IEEE/ACM Int. Conf. on Computer-Aided Design, 2003, pp. 621-625.
    • (2003) Proc. IEEE/ACM Int. Conf. on Computer-Aided Design , pp. 621-625
    • Chang, H.1    Sapatnekar, S.S.2
  • 8
    • 34547152233 scopus 로고    scopus 로고
    • Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits
    • DOI 10.1145/1146909.1147109, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • S. Bhardwaj, S. Vrudhula, P. Ghanta, and Y. Cao, "Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits," in Proc. ACM/IEEE Design Autom. Conf., July 2006, pp. 791-796. (Pubitemid 47114001)
    • (2006) Proceedings - Design Automation Conference , pp. 791-796
    • Bhardwaj, S.1    Vrudhula, S.2    Ghanta, P.3    Cao, Y.4
  • 10
    • 34547268011 scopus 로고    scopus 로고
    • A general framework for spatial correlation modeling in VLSI design
    • DOI 10.1109/DAC.2007.375277, 4261296, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
    • F. Liu, "A general framework for spatial correlation modeling in VLSI design," in Proc. ACM/IEEE Design Autom. Conf., San Diego, CA, 2007, pp. 817-822. (Pubitemid 47130078)
    • (2007) Proceedings - Design Automation Conference , pp. 817-822
    • Liu, F.1
  • 11
    • 20344385187 scopus 로고    scopus 로고
    • Boston, MA: Springer
    • S. S. Sapatnekar, Timing. Boston, MA: Springer, 2004.
    • (2004) Timing
    • Sapatnekar, S.S.1
  • 14
    • 0001310038 scopus 로고
    • The greatest of a finite set of random variables
    • Mar.-Apr.
    • C. Clark, "The greatest of a finite set of random variables," Oper. Res., vol. 9, pp. 85-91, Mar.-Apr. 1961.
    • (1961) Oper. Res. , vol.9 , pp. 85-91
    • Clark, C.1
  • 18
    • 34547223772 scopus 로고    scopus 로고
    • Statistical timing analysis with correlated non-gaussian parameters using independent component analysis
    • DOI 10.1145/1146909.1146953, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • J. Singh and S. S. Sapatnekar, "Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis," in Proc. ACM/IEEE Design Autom. Conf., 2006, pp. 155-160. (Pubitemid 47113885)
    • (2006) Proceedings - Design Automation Conference , pp. 155-160
    • Singh, J.1    Sapatnekar, S.2
  • 19
    • 37249034691 scopus 로고    scopus 로고
    • A scalable statistical static timing analyzer incorporating correlated non-Gaussian and Gaussian parameter variations
    • DOI 10.1109/TCAD.2007.907241
    • J. Singh and S. S. Sapatnekar, "A scalable statistical static timing analyzer incorporating correlated non-Gaussian and Gaussian parameter variations," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 1, pp. 160-173, Jan. 2008. (Pubitemid 350281175)
    • (2008) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , vol.27 , Issue.1 , pp. 160-173
    • Singh, J.1    Sapatnekar, S.S.2
  • 20
    • 0042826822 scopus 로고    scopus 로고
    • Independent component analysis: Algorithms and applications
    • DOI 10.1016/S0893-6080(00)00026-5, PII S0893608000000265
    • A. Hyvärinen and E. Oja, "Independent component analysis: Algorithms and applications," Neural Netw., vol. 13, pp. 411-430, 2000. (Pubitemid 30447427)
    • (2000) Neural Networks , vol.13 , Issue.4-5 , pp. 411-430
    • Hyvarinen, A.1    Oja, E.2
  • 21
    • 27944511054 scopus 로고    scopus 로고
    • Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions
    • 7.1, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
    • H. Chang, V. Zolotov, S. Narayan, and C. Visweswariah, "Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions," in Proc. ACM/IEEE Design Autom. Conf., 2005, pp. 71-76. (Pubitemid 41675404)
    • (2005) Proceedings - Design Automation Conference , pp. 71-76
    • Chang, H.1    Zolotov, V.2    Narayan, S.3    Visweswariah, C.4
  • 22
    • 27944484876 scopus 로고    scopus 로고
    • A general framework for accurate statistical timing analysis considering correlations
    • 7.4, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
    • V. Khandelwal and A. Srivastava, "A general framework for accurate statistical timing analysis considering correlations," in Proc. ACM/ IEEE Design Autom. Conf., 2005, pp. 89-94. (Pubitemid 41675407)
    • (2005) Proceedings - Design Automation Conference , pp. 89-94
    • Khandelwal, V.1    Srivastava, A.2
  • 23
    • 34047175379 scopus 로고    scopus 로고
    • A quadratic modeling-based framework for accurate statistical timing analysis considering correlations
    • DOI 10.1109/TVLSI.2007.893585
    • V. Khandelwal and A. Srivastava, "A quadratic modeling-based framework for accurate statistical timing analysis considering correlations," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, pp. 206-215, Feb. 2007. (Pubitemid 46527353)
    • (2007) IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol.15 , Issue.2 , pp. 206-215
    • Khandelwal, V.1    Srivastava, A.2
  • 24
    • 34547254653 scopus 로고    scopus 로고
    • Non-linear statistical static timing analysis for non-Gaussian variation sources
    • DOI 10.1109/DAC.2007.375162, 4261181, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
    • L. Cheng, J. Xiong, and L. He, "Non-linear statistical static timing analysis for non-Gaussian variation sources," in Proc. ACM/IEEE Design Autom. Conf., 2007, pp. 250-255. (Pubitemid 47129963)
    • (2007) Proceedings - Design Automation Conference , pp. 250-255
    • Cheng, L.1    Xiong, J.2    He, L.3
  • 26
    • 46149095291 scopus 로고    scopus 로고
    • A linear-time approach for static timing analysis covering all process corners
    • S. Onaissi and F. N. Najm, "A linear-time approach for static timing analysis covering all process corners," in Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design, 2006, pp. 217-224.
    • (2006) Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design , pp. 217-224
    • Onaissi, S.1    Najm, F.N.2
  • 28
    • 0041589378 scopus 로고    scopus 로고
    • Analysis and minimization techniques for total leakage considering gate oxide leakage
    • Jun.
    • D. Lee, W. Kwong, D. Blaauw, and D. Sylvester, "Analysis and minimization techniques for total leakage considering gate oxide leakage," in Proc. ACM/IEEE Design Autom. Conf., Jun. 2003, pp. 175-180.
    • (2003) Proc. ACM/IEEE Design Autom. Conf. , pp. 175-180
    • Lee, D.1    Kwong, W.2    Blaauw, D.3    Sylvester, D.4
  • 29
    • 1542269365 scopus 로고    scopus 로고
    • Statistical estimation of leakage current considering inter- and intra-die process variation
    • Aug.
    • R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester, "Statistical estimation of leakage current considering inter- and intra-die process variation," in Proc. Int. Symp. Low Power Electronic Devices, Aug. 2003, pp. 84-89.
    • (2003) Proc. Int. Symp. Low Power Electronic Devices , pp. 84-89
    • Rao, R.1    Srivastava, A.2    Blaauw, D.3    Lee, D.X.4
  • 32
    • 0028583468 scopus 로고
    • Comparison of methods of computing correlated lognormal sum distributions and outages for digital wireless applications
    • Jun.
    • A. A. Abu-Dayya and N. C. Beaulieu, "Comparison of methods of computing correlated lognormal sum distributions and outages for digital wireless applications," in IEEE 44th Veh. Technol. Conf., Jun. 1994, vol. 1, pp. 175-179.
    • (1994) IEEE 44th Veh. Technol. Conf. , vol.1 , pp. 175-179
    • Abu-Dayya, A.A.1    Beaulieu, N.C.2
  • 33
    • 27944470947 scopus 로고    scopus 로고
    • Full-chip analysis of leakage power under process variations, including spatial correlations
    • 32.1, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
    • H. Chang and S. S. Sapatnekar, "Full-chip analysis of leakage power under process variations, including spatial correlations," in Proc. ACM/ IEEE Design Autom. Conf., Anaheim, CA, Jun. 2005, pp. 523-528. (Pubitemid 41675492)
    • (2005) Proceedings - Design Automation Conference , pp. 523-528
    • Chang, H.1    Sapatnekar, S.S.2
  • 34
    • 27944464454 scopus 로고    scopus 로고
    • Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance
    • 32.3, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
    • A. Srivastava, S. Shah, K. Agarwal, D. Sylvester, D. Blaauw, and S. W. Director, "Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance," in Proc. ACM/IEEE Design Autom. Conf., Jun. 2005, pp. 535-540. (Pubitemid 41675494)
    • (2005) Proceedings - Design Automation Conference , pp. 535-540
    • Srivastava, A.1    Shah, S.2    Agarwal, K.3    Sylvester, D.4    Blaauw, D.5    Director, S.6
  • 35
    • 34248335446 scopus 로고    scopus 로고
    • Prediction of leakage power under process uncertainties
    • Apr. Art. (27 pages)
    • H. Chang and S. S. Sapatnekar, "Prediction of leakage power under process uncertainties," ACM Trans. Design Autom. Electron. Syst., vol. 12, Apr. 2007, Art. 12 (27 pages).
    • (2007) ACM Trans. Design Autom. Electron. Syst. , vol.12 , pp. 12
    • Chang, H.1    Sapatnekar, S.S.2
  • 37
    • 4444264520 scopus 로고    scopus 로고
    • Novel sizing algorithm for yield improvement under process variation in nanometer technology
    • Jun.
    • S. H. Choi, B. C. Paul, and K. Roy, "Novel sizing algorithm for yield improvement under process variation in nanometer technology," in Proc. ACM/IEEE Design Autom. Conf., Jun. 2004, pp. 454-459.
    • (2004) Proc. ACM/ IEEE Design Autom. Conf. , pp. 454-459
    • Choi, S.H.1    Paul, B.C.2    Roy, K.3
  • 40
    • 33751414776 scopus 로고    scopus 로고
    • Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation
    • Nov.
    • K. Chopra, S. Shah, A. Srivastava, D. Blaauw, and D. Sylvester, "Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation," in Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design, Nov. 2005, pp. 1023-1028.
    • (2005) Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design , pp. 1023-1028
    • Chopra, K.1    Shah, S.2    Srivastava, A.3    Blaauw, D.4    Sylvester, D.5
  • 41
    • 4444333242 scopus 로고    scopus 로고
    • A methodology to improve timing yield in the presence of process variations
    • Jun.
    • S. Raj, S. B. K. Vrudhala, and J. Wang, "A methodology to improve timing yield in the presence of process variations," in Proc. ACM/IEEE Design Autom. Conf., Jun. 2004, pp. 448-453.
    • (2004) Proc. ACM/IEEE Design Autom. Conf. , pp. 448-453
    • Raj, S.1    Vrudhala, S.B.K.2    Wang, J.3
  • 42
    • 27944441297 scopus 로고    scopus 로고
    • An efficient algorithm for statistical minimization of total power under timing yield constraints
    • 19.1, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
    • M. Mani, A. Devgan, and M. Orshansky, "An efficient algorithm for statistical power under timing yield constraints," in Proc. ACM/IEEE Design Autom. Conf., Jun. 2005, pp. 309-314. (Pubitemid 41675451)
    • (2005) Proceedings - Design Automation Conference , pp. 309-314
    • Mani, M.1    Devgan, A.2    Orshansky, M.3
  • 43
    • 34547142836 scopus 로고    scopus 로고
    • Variability driven gate sizing for binning yield optimization
    • DOI 10.1145/1146909.1147152, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • A. Davoodi and A. Srivastava, "Variability driven gate sizing for binning yield optimization," in Proc. ACM/IEEE Design Autom. Conf., 2006, pp. 959-964. (Pubitemid 47114034)
    • (2006) Proceedings - Design Automation Conference , pp. 959-964
    • Davoodi, A.1    Srivastava, A.2
  • 45
    • 33751398442 scopus 로고    scopus 로고
    • Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations
    • Nov.
    • X. Li, J. Le, M. Celik, and L. T. Pileggi, "Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations," in Proc. IEEE/ACM Int. Conf. on Comput.- Aided Design, Nov. 2005, pp. 844-851.
    • (2005) Proc. IEEE/ACM Int. Conf. on Comput.- Aided Design , pp. 844-851
    • Li, X.1    Le, J.2    Celik, M.3    Pileggi, L.T.4
  • 46
    • 34547188326 scopus 로고    scopus 로고
    • Criticality computation in parameterized statistical timing
    • DOI 10.1145/1146909.1146929, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • J. Xiong, V. Zolotov, N. Venkateswaran, and C. Visweswariah, "Criticality computation in parameterized statistical timing," in Proc. ACM/ IEEE Design Autom. Conf., Jul. 2006, pp. 63-68. (Pubitemid 47113868)
    • (2006) Proceedings - Design Automation Conference , pp. 63-68
    • Xiong, J.1    Zolotov, V.2    Venkateswaran, N.3    Visweswariah, C.4
  • 48
    • 34347239371 scopus 로고    scopus 로고
    • Refined statistical static timing analysis through learning spatial delay correlations
    • DOI 10.1145/1146909.1146952, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • B. Lee, L. Wang, and M. S. Abadir, "Refined statistical static timing analysis through learning spatial delay correlations," in Proc. ACM/ IEEE Design Autom. Conf., July 2006, pp. 149-154. (Pubitemid 47129490)
    • (2006) Proceedings - Design Automation Conference , pp. 149-154
    • Lee, B.N.1    Wang, L.-C.2    Abadir, M.S.3
  • 49
    • 34547355933 scopus 로고    scopus 로고
    • Design-silicon timing correlation- A data mining perspective
    • June
    • L. Wang, P. Bastani, and M. S. Abadir, "Design-silicon timing correlation- a data mining perspective," in Proc. ACM/IEEE Design Autom. Conf., June 2007, pp. 385-389.
    • (2007) Proc. ACM/ IEEE Design Autom. Conf. , pp. 385-389
    • Wang, L.1    Bastani, P.2    Abadir, M.S.3
  • 50
    • 34547142836 scopus 로고    scopus 로고
    • Variability driven gate sizing for binning yield optimization
    • Jul.
    • A. Davoodi and A. Srivastava, "Variability driven gate sizing for binning yield optimization," in Proc. ACM/IEEE Design Autom. Conf., Jul. 2006, pp. 956-964.
    • (2006) Proc. ACM/ IEEE Design Autom. Conf. , pp. 956-964
    • Davoodi, A.1    Srivastava, A.2
  • 52
    • 34547322816 scopus 로고    scopus 로고
    • Confidence scalable post-silicon statistical delay prediction under process variations
    • DOI 10.1109/DAC.2007.375216, 4261235, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
    • Q. Liu and S. S. Sapatnekar, "Confidence scalable post-silicon statistical delay prediction under process variations," in Proc. ACM/IEEE Design Autom. Conf., Jun. 2007, pp. 497-502. (Pubitemid 47130017)
    • (2007) Proceedings - Design Automation Conference , pp. 497-502
    • Qunzeng, L.1    Sapatnekar, S.S.2
  • 53
    • 70349089251 scopus 로고    scopus 로고
    • Synthesizing a representative critical path for post-silicon delay prediction
    • Apr.
    • Q. Liu and S. S. Sapatnekar, "Synthesizing a representative critical path for post-silicon delay prediction," in Proc. ACMInt. Symp. on Physical Design, Apr. 2009, pp. 183-190.
    • (2009) Proc. ACMInt. Symp. on Physical Design , pp. 183-190
    • Liu, Q.1    Sapatnekar, S.S.2
  • 55
    • 79957844922 scopus 로고    scopus 로고
    • Semiconductor Industry Association International Technology Roadmap for Semiconductors
    • Semiconductor Industry Association, International Technology Roadmap for Semiconductors 1997-2005.
    • (1997)
  • 58
    • 0043092199 scopus 로고    scopus 로고
    • Power grid reduction based on algebraic multigrid principles
    • H. Su, E. Acar, and S. R. Nassif, "Power grid reduction based on algebraic multigrid principles," in Proc. ACM/IEEE Design Autom. Conf., 2003, pp. 109-112.
    • (2003) Proc. ACM/ IEEE Design Autom. Conf. , pp. 109-112
    • Su, H.1    Acar, E.2    Nassif, S.R.3
  • 60
    • 79957849382 scopus 로고    scopus 로고
    • A multigrid tutorial," [Online]. Available:
    • W. L. Briggs, "A multigrid tutorial," [Online]. Available: http://www. llnl.gov/CASC/people/henson/mgtut/ps/mgtut.pdf
    • Briggs, W.L.1
  • 67
    • 51449097297 scopus 로고    scopus 로고
    • Successive pad assignment algorithm to optimize number and location of power supply pad using incremental matrix inversion
    • T. Sato, H. Onodera, and M. Hashimoto, "Successive pad assignment algorithm to optimize number and location of power supply pad using incremental matrix inversion," in Proc. Asia/South Pacific Design Autom. Conf., 2005, pp. 723-728.
    • (2005) Proc. Asia/South Pacific Design Autom. Conf. , pp. 723-728
    • Sato, T.1    Onodera, H.2    Hashimoto, M.3
  • 68
    • 18744408849 scopus 로고    scopus 로고
    • Congestion-aware topology optimization of structured power/ground networks
    • DOI 10.1109/TCAD.2005.846369, The International Symposium on Physical Design 2004
    • J. Singh and S. S. Sapatnekar, "Congestion-aware topology optimization of structured power/ground networks," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, pp. 683-695, May 2005. (Pubitemid 40665622)
    • (2005) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , vol.24 , Issue.5 , pp. 683-695
    • Singh, J.1    Sapatnekar, S.S.2
  • 73
    • 64549114103 scopus 로고    scopus 로고
    • Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors
    • P. Zhou, K. Sridharan, and S. S. Sapatnekar, "Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors," in Proc. Asia/South Pacific Design Autom. Conf., 2010, pp. 179-184.
    • (2010) Proc. Asia/South Pacific Design Autom. Conf. , pp. 179-184
    • Zhou, P.1    Sridharan, K.2    Sapatnekar, S.S.3
  • 74
    • 58849113571 scopus 로고    scopus 로고
    • Design and implementation of active decoupling capacitor circuits for power supply regulation in digital ics
    • Feb.
    • J. Gu, R. Harjani, and C. Kim, "Design and implementation of active decoupling capacitor circuits for power supply regulation in digital ics," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 2, pp. 292-301, Feb. 2009.
    • (2009) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.17 , Issue.2 , pp. 292-301
    • Gu, J.1    Harjani, R.2    Kim, C.3
  • 75
    • 33845692228 scopus 로고    scopus 로고
    • Heat generation and transport in nanometer-scale transistors
    • DOI 10.1109/JPROC.2006.879794
    • E. Pop, S. Sinha, and K. E. Goodson, "Heat generation and transport in nanometer-scale transistors," Proc. IEEE, vol. 94, pp. 1587-1601, Aug. 2006. (Pubitemid 46432337)
    • (2006) Proceedings of the IEEE , vol.94 , Issue.8 , pp. 1587-1601
    • Pop, E.1    Sinha, S.2    Goodson, K.E.3
  • 78
    • 33846609148 scopus 로고    scopus 로고
    • Accelerated chip-level thermal analysis using multilayer Green's function
    • Feb.
    • B. Wang and P. Mazumder, "Accelerated chip-level thermal analysis using multilayer Green's function," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 26, pp. 325-344, Feb. 2007.
    • (2007) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol.26 , pp. 325-344
    • Wang, B.1    Mazumder, P.2
  • 85
    • 34247252970 scopus 로고    scopus 로고
    • Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis
    • DOI 10.1145/1165573.1165644, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
    • V. Nookala, D. J. Lilja, and S. S. Sapatnekar, "Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis," in Proc. Int. Symp. of Low Power Electronic Devices, 2006, pp. 298-303. (Pubitemid 46609753)
    • (2006) Proceedings of the International Symposium on Low Power Electronics and Design , vol.2006 , pp. 298-303
    • Nookala, V.1    Lilja, D.J.2    Sapatnekar, S.S.3
  • 89
    • 0033871060 scopus 로고    scopus 로고
    • Cell-level placement for improving substrate thermal distribution
    • Feburary
    • C. H. Tsai and S. M. Kang, "Cell-level placement for improving substrate thermal distribution," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 19, pp. 253-266, Feburary 2000.
    • (2000) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol.19 , pp. 253-266
    • Tsai, C.H.1    Kang, S.M.2
  • 91
    • 0347409236 scopus 로고    scopus 로고
    • Efficient thermal placement of standard cells in 3D ICs using a force directed approach
    • Nov.
    • B. Goplen and S. S. Sapatnekar, "Efficient thermal placement of standard cells in 3D ICs using a force directed approach," in Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design, Nov. 2003, pp. 86-89.
    • (2003) Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design , pp. 86-89
    • Goplen, B.1    Sapatnekar, S.S.2
  • 92
    • 34547301387 scopus 로고    scopus 로고
    • Placement of 3D ICs with thermal and interlayer via considerations
    • DOI 10.1109/DAC.2007.375239, 4261258, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
    • B. Goplen and S. S. Sapatnekar, "Placement of 3D ICs with thermal and interlayer via considerations," in Proc. ACM/IEEE Design Autom. Conf., 2007, pp. 626-631. (Pubitemid 47130040)
    • (2007) Proceedings - Design Automation Conference , pp. 626-631
    • Goplen, B.1    Sapatnekar, S.2
  • 97
    • 22544455956 scopus 로고    scopus 로고
    • Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems
    • DOI 10.1109/TCAD.2005.850895
    • L. Yan, J. Luo, and N. K. Jha, "Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, pp. 1030-1041, July 2005. (Pubitemid 41013052)
    • (2005) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , vol.24 , Issue.7 , pp. 1030-1041
    • Yan, L.1    Luo, J.2    Jha, N.K.3
  • 98
    • 0346148512 scopus 로고    scopus 로고
    • Combined dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems
    • L. Yan, J. Luo, and N. K. Jha, "Combined dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems," in Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design, 2003, pp. 30-37.
    • (2003) Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design , pp. 30-37
    • Yan, L.1    Luo, J.2    Jha, N.K.3
  • 99
    • 0036917242 scopus 로고    scopus 로고
    • Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads
    • S. M. Martin, K. Flautner, T. Mudge, and D. Blaauw, "Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads," in Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design, 2002, pp. 721-725.
    • (2002) Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design , pp. 721-725
    • Martin, S.M.1    Flautner, K.2    Mudge, T.3    Blaauw, D.4
  • 106
    • 1542690244 scopus 로고    scopus 로고
    • Soft errors in advanced semiconductor devices-part i: The three radiation sources
    • PII S1530438801040744
    • R. C. Baumann, "Soft errors in advanced semiconductor devices-Part i: The three radiation sources," IEEE Trans. Devices Mater. Rel., vol. 1, pp. 17-22, Mar. 2001. (Pubitemid 33778193)
    • (2001) IEEE Transactions on Device and Materials Reliability , vol.1 , Issue.1 , pp. 17-22
    • Baumann, R.C.1
  • 107
    • 9144234352 scopus 로고    scopus 로고
    • Characterization of soft errors caused by single event upsets in CMOS processes
    • Apr.-Jun.
    • T. Karnik, P. Hazucha, and J. Patel, "Characterization of soft errors caused by single event upsets in CMOS processes," IEEE Trans. Dependable and Secure Computing, vol. 1, pp. 128-143, Apr.-Jun. 2004.
    • (2004) IEEE Trans. Dependable and Secure Computing , vol.1 , pp. 128-143
    • Karnik, T.1    Hazucha, P.2    Patel, J.3
  • 108
    • 46149126905 scopus 로고    scopus 로고
    • Design optimization for single-event upset robustness using simultaneous dual-VDD and sizing techniques
    • M. Choudhury, Q. Zhou, and K. Mohanram, "Design optimization for single-event upset robustness using simultaneous dual-VDD and sizing techniques," in Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design, 2006, pp. 204-209.
    • (2006) Proc. IEEE/ACM Int. Conf. on Comput.-Aided Design , pp. 204-209
    • Choudhury, M.1    Zhou, Q.2    Mohanram, K.3
  • 112
    • 79957840501 scopus 로고    scopus 로고
    • Negative bias temperature instability: physics materials process and circuit issues[Online]. Available
    • D. K. Schroder, Negative bias temperature instability: physics, materials, process, and circuit issues 2005 [Online]. Available: http://www. ewh.ieee.org/r5/denver/sscs/Presentations/2005.08.Schroder.pdf
    • (2005)
    • Schroder, D.K.1
  • 113
    • 10044241027 scopus 로고    scopus 로고
    • A critical examination of the mechanics of dynamic NBTI for pMOSFETs
    • M. A. Alam, "A critical examination of the mechanics of dynamic NBTI for pMOSFETs," in Proc. IEEE Int. Electron Devices Meeting, 2003, pp. 14.4.1-14.4.4.
    • (2003) Proc. IEEE Int. Electron Devices Meeting , pp. 1441-1444
    • Alam, M.A.1
  • 115
    • 21644482021 scopus 로고    scopus 로고
    • NBTI: What we know and what we need to know - A tutorial addressing the current understanding and challenges for the future
    • 2004 IEEE International Integrated Reliability Workshop Final Report
    • J. G. Massey, "NBTI: What we know and what we need to know-A tutorial addressing the current understanding and challenges for the future," in Proc. IEEE Int. Integr. Rel. Workshop Final Rep., 2004, pp. 199-211. (Pubitemid 40930066)
    • (2004) IEEE International Integrated Reliability Workshop Final Report , pp. 199-211
    • Massey, J.G.1
  • 118
    • 34547358150 scopus 로고    scopus 로고
    • NBTI-aware synthesis of digital circuits
    • DOI 10.1109/DAC.2007.375189, 4261208, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
    • S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, "NBTI-aware synthesis of digital circuits," in Proc. ACM/IEEE Design Autom. Conf., 2007, pp. 370-375. (Pubitemid 47129990)
    • (2007) Proceedings - Design Automation Conference , pp. 370-375
    • Kumar, S.V.1    Kim, C.H.2    Sapatnekar, S.S.3
  • 119
    • 79953092815 scopus 로고    scopus 로고
    • Adaptive techniques for overcoming performance degradation due to aging in CMOS circuits
    • [Online]. Available:
    • S. V.Kumar, C.H. Kim, and S. S. Sapatnekar, "Adaptive techniques for overcoming performance degradation due to aging in CMOS circuits," IEEE Trans. Very Large Scale Integr. (VLSI) Syst. [Online]. Available: http://ieeexplore.ieee.org/xpls/abs-all.jsp?arnumber=5371864&tag=1
    • IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
    • Kumar, S.V.1    Kim, C.H.2    Sapatnekar, S.S.3
  • 120
    • 41549122836 scopus 로고    scopus 로고
    • Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits
    • DOI 10.1109/JSSC.2008.917502
    • T.-H. Kim, R. Persaud, and C. H. Kim, "Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits," IEEE J. Solid-State Circuits, vol. 43, pp. 874-880, Apr. 2008. (Pubitemid 351464080)
    • (2008) IEEE Journal of Solid-State Circuits , vol.43 , Issue.4 , pp. 874-880
    • Kim, T.-H.1    Persaud, R.2    Kim, C.H.3
  • 121
    • 0036508455 scopus 로고    scopus 로고
    • Reliability limits for the gate insulator in CMOS technology
    • J. H. Stathis, "Reliability limits for the gate insulator in CMOS technology," IBM J. Res. Develop., vol. 46, pp. 265-286, Mar./May 2002. (Pubitemid 34692351)
    • (2002) IBM Journal of Research and Development , vol.46 , Issue.2-3 , pp. 265-286
    • Stathis, J.H.1
  • 123
    • 0038529280 scopus 로고    scopus 로고
    • Physical and predictive models of ultrathin oxide reliability in CMOS devices and circuits
    • PII S1530438801042524
    • J. H. Stathis, "Physical and predictive models of ultrathin oxide reliability in CMOS devices and circuits," IEEE Trans. Devices Mater. Rel., vol. 1, pp. 43-59, Mar. 2001. (Pubitemid 33778196)
    • (2001) IEEE Transactions on Device and Materials Reliability , vol.1 , Issue.1 , pp. 43-59
    • Stathis, J.H.1
  • 127
    • 77951019768 scopus 로고    scopus 로고
    • Reliable cache design with detection of gate oxide breakdown using BIST
    • F. Ahmed and L. Milor, "Reliable cache design with detection of gate oxide breakdown using BIST," in Proc. IEEE Int. Conf. on Comput. Design, 2009, pp. 366-371.
    • (2009) Proc. IEEE Int. Conf. on Comput. Design , pp. 366-371
    • Ahmed, F.1    Milor, L.2
  • 128
    • 0001310648 scopus 로고
    • Reliability effects on MOS transistors due to hot-carrier injection
    • Feb.
    • K. Chen, S. Saller, I. Groves, and D. Scott, "Reliability effects on MOS transistors due to hot-carrier injection," IEEE Trans. Electron Devices, vol. 32, pp. 386-393, Feb. 1985.
    • (1985) IEEE Trans. Electron Devices , vol.32 , pp. 386-393
    • Chen, K.1    Saller, S.2    Groves, I.3    Scott, D.4
  • 130
    • 74049110866 scopus 로고    scopus 로고
    • Impact of transistor level degradation on product reliability
    • T. Nigam, "Impact of transistor level degradation on product reliability," in Proc. IEEE Custom Integr. Circuits Conf., 2009, pp. 431-438.
    • (2009) Proc. IEEE Custom Integr. Circuits Conf. , pp. 431-438
    • Nigam, T.1
  • 131
    • 0014630193 scopus 로고
    • Electromigration failure modes in aluminum metallization for semiconductor devices
    • Sep.
    • J. R. Black, "Electromigration failure modes in aluminum metallization for semiconductor devices," Proc. IEEE, vol. 57, pp. 1587-1594, Sep. 1969.
    • (1969) Proc. IEEE , vol.57 , pp. 1587-1594
    • Black, J.R.1
  • 132
    • 0015142451 scopus 로고
    • Electromigration and failure in electronics: An introduction
    • Oct.
    • F. M. d'Heurle, "Electromigration and failure in electronics: An introduction," Proc. IEEE, vol. 59, no. 10, pp. 1409-1418, Oct. 1971.
    • (1971) Proc. IEEE , vol.59 , Issue.10 , pp. 1409-1418
    • D'Heurle, F.M.1
  • 133


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.