-
1
-
-
0030086605
-
2, 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme
-
2, 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme," in Proceedings of the IEEE International Solid- State Circuits Conference, pp. 166-167, 1996.
-
(1996)
Proceedings of the IEEE International Solid- State Circuits Conference
, pp. 166-167
-
-
Kuroda, T.1
Fujita, T.2
Mita, S.3
Nagamatsu, T.4
Yoshioka, S.5
Suzuki, K.6
Sano, F.7
Norishima, M.8
Murota, M.9
Kako, M.10
Kinugawa, M.11
Kakumu, M.12
Sakurai, T.13
-
2
-
-
0036858210
-
Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage
-
November
-
J. W. Tschanz, J. Kao, S. G. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, and V. De, "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," IEEE Journal of Solid-State Circuits, vol. 37, pp. 1396-1402, November 2002.
-
(2002)
IEEE Journal of Solid-State Circuits
, vol.37
, pp. 1396-1402
-
-
Tschanz, J.W.1
Kao, J.2
Narendra, S.G.3
Nair, R.4
Antoniadis, D.5
Chandrakasan, A.6
De, V.7
-
3
-
-
20344385187
-
-
Boston, MA: Springer
-
S. S. Sapatnekar, Timing. Boston, MA: Springer, 2004.
-
(2004)
Timing
-
-
Sapatnekar, S.S.1
-
4
-
-
33747634671
-
Performance computation for precharacterized CMOS gates with RC loads
-
May
-
F. Dartu, N. Menezes, and L. Pileggi, "Performance computation for precharacterized CMOS gates with RC loads," IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 15, pp. 544-553, May 1996.
-
(1996)
IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
, vol.15
, pp. 544-553
-
-
Dartu, F.1
Menezes, N.2
Pileggi, L.3
-
5
-
-
0041633843
-
Blade and Razor: Cell and interconnect delay analysis using current-based models
-
J. F. Croix and D. F. Wong, "Blade and Razor: Cell and interconnect delay analysis using current-based models," in Proceedings of the Design Automation Conference, pp. 386-389, 2003.
-
(2003)
Proceedings of the Design Automation Conference
, pp. 386-389
-
-
Croix, J.F.1
Wong, D.F.2
-
6
-
-
16244373361
-
A robust cell-level crosstalk delay change analysis
-
I. Keller, K. Tseng, and N. Verghese, "A robust cell-level crosstalk delay change analysis," in Proceedings of the International Conference on Computer-Aided Design, pp. 147-154, 2004.
-
(2004)
Proceedings of the International Conference on Computer-Aided Design
, pp. 147-154
-
-
Keller, I.1
Tseng, K.2
Verghese, N.3
-
8
-
-
34547176412
-
A multi-port current source model for multiple-input switching effects in CMOS library cells
-
C. Amin, C. Kashyap, N. Menezes, K. Killpack, and E. Chiprout, "A multi-port current source model for multiple-input switching effects in CMOS library cells," in Proceedings of the Design Automation Conference, pp. 247-252, 2006.
-
(2006)
Proceedings of the Design Automation Conference
, pp. 247-252
-
-
Amin, C.1
Kashyap, C.2
Menezes, N.3
Killpack, K.4
Chiprout, E.5
-
9
-
-
51549101678
-
A "true" electrical cell model for timing, noise, and power grid verification
-
N. Menezes, C. Kashyap, and C. Amin, "A "true" electrical cell model for timing, noise, and power grid verification," in Proceedings of the Design Automation Conference, pp. 462-467, 2008.
-
(2008)
Proceedings of the Design Automation Conference
, pp. 462-467
-
-
Menezes, N.1
Kashyap, C.2
Amin, C.3
-
10
-
-
49749118274
-
A current source model for CMOS logic cells considering multiple input switching and stack effect
-
B. Amelifard, S. Hatami, H. Fatemi, and M. Pedram, "A current source model for CMOS logic cells considering multiple input switching and stack effect," in Proceedings of the Conference on Design, Automation and Test in Europe, pp. 568-573, 2008.
-
(2008)
Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 568-573
-
-
Amelifard, B.1
Hatami, S.2
Fatemi, H.3
Pedram, M.4
-
11
-
-
51649088243
-
Transistor level gate modeling for accurate and fast timing, noise, and power analysis
-
S. Raja, F. Varadi, M. Becer, and J. Geada, "Transistor level gate modeling for accurate and fast timing, noise, and power analysis," in Proceedings of the Design Automation Conference, pp. 456-461, 2008.
-
(2008)
Proceedings of the Design Automation Conference
, pp. 456-461
-
-
Raja, S.1
Varadi, F.2
Becer, M.3
Geada, J.4
-
12
-
-
77951234785
-
-
http://www.synopsys.com/products/mixedsignal/hspice/hspice.html.
-
-
-
-
13
-
-
0030697653
-
A fast and accurate technique to optimize characterization tables for logic synthesis
-
J. F. Croix and D. F. Wong, "A fast and accurate technique to optimize characterization tables for logic synthesis," in Proceedings of the Design Automation Conference, pp. 337-340, 1997.
-
(1997)
Proceedings of the Design Automation Conference
, pp. 337-340
-
-
Croix, J.F.1
Wong, D.F.2
-
15
-
-
34548812547
-
Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging
-
J. Tschanz, N. Kim, S. Dighe, J. Howard, G. Ruhl, S. Vanga, S. Narendra, Y. Hoskote, H. Wilson, C. Lam, M. Shuman, C. Tokunaga, D. Somasekhar, S. Tang, D. Finan, T. Karnik, N. Borkar, N. Kurd, and V. De, "Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging," in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 292-604, 2007.
-
(2007)
Proceedings of the IEEE International Solid-State Circuits Conference
, pp. 292-604
-
-
Tschanz, J.1
Kim, N.2
Dighe, S.3
Howard, J.4
Ruhl, G.5
Vanga, S.6
Narendra, S.7
Hoskote, Y.8
Wilson, H.9
Lam, C.10
Shuman, M.11
Tokunaga, C.12
Somasekhar, D.13
Tang, S.14
Finan, D.15
Karnik, T.16
Borkar, N.17
Kurd, N.18
De, V.19
-
16
-
-
39749184704
-
Body bias voltage computations for process and temperature compensation
-
March
-
S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, "Body bias voltage computations for process and temperature compensation," IEEE Transactions on Very Large Scale Integration Systems, vol. 16, pp. 249-262, March 2008.
-
(2008)
IEEE Transactions on Very Large Scale Integration Systems
, vol.16
, pp. 249-262
-
-
Kumar, S.V.1
Kim, C.H.2
Sapatnekar, S.S.3
|