-
1
-
-
0038377458
-
GEANT4 - A simulation toolkit
-
available online at
-
S. Agostinelli et al., "GEANT4 - a simulation toolkit," Nuclear Instruments and Methods in Physics Research Section A, vol. 506, no. 3, pp. 250-303, available online at: http://cern.ch/geant4, 2003.
-
(2003)
Nuclear Instruments and Methods in Physics Research Section A
, vol.506
, Issue.3
, pp. 250-303
-
-
Agostinelli, S.1
-
2
-
-
78650038288
-
-
private communication; runtime is estimated to equal about 72 hours per particle strike for a full 3D mixed-mode device simulation
-
O. A. Amusan, private communication; runtime is estimated to equal about 72 hours per particle strike for a full 3D mixed-mode device simulation.
-
-
-
Amusan, O.A.1
-
3
-
-
34548755628
-
Single Event upsets in a 130nm hardened latch design due to charge sharing
-
O. A. Amusan, A. L. Sternberg, A. F. Witulski, B. L. Bhuva, J. D. Black, M. P. Baze, and L. W. Massengill, "Single Event upsets in a 130nm hardened latch design due to charge sharing," in Proceedings of the International Reliability Physics Symposium (IRPS), pp. 306-311, 2007.
-
(2007)
Proceedings of the International Reliability Physics Symposium (IRPS)
, pp. 306-311
-
-
Amusan, O.A.1
Sternberg, A.L.2
Witulski, A.F.3
Bhuva, B.L.4
Black, J.D.5
Baze, M.P.6
Massengill, L.W.7
-
4
-
-
77955820080
-
Analysis of a multiple cell upset failure model for memories
-
Stanford University, March 24th and 25th, A copy of the paper is available at
-
S. Baeg, P. Reviriego, J. Antonio Maestro, S. Wen, and R. Wong, "Analysis of a multiple cell upset failure model for memories," in IEEE Workshop on Silicon Errors in Logic - System Effects, Stanford University, March 24th and 25th 2009. A copy of the paper is available at: http://softerrors. info/selse/images/selse 2009/Papers/selse5 submission 7.pdf.
-
(2009)
IEEE Workshop on Silicon Errors in Logic - System Effects
-
-
Baeg, S.1
Reviriego, P.2
Antonio Maestro, J.3
Wen, S.4
Wong, R.5
-
5
-
-
37249023738
-
Single event upsets induced by 1-10 MeV neutrons in static- RAMs using mono-energetic neutron sources
-
J. Baggio, D. Lambert, V. Ferlet-Cavrois, P. Paillet, C. Marcandella, and O. Duhamel, "Single event upsets induced by 1-10 MeV neutrons in static- RAMs using mono-energetic neutron sources," IEEE Transactions on Nuclear Science, vol. 54, no. 6, pp. 2149-2155, 2007.
-
(2007)
IEEE Transactions on Nuclear Science
, vol.54
, Issue.6
, pp. 2149-2155
-
-
Baggio, J.1
Lambert, D.2
Ferlet-Cavrois, V.3
Paillet, P.4
Marcandella, C.5
Duhamel, O.6
-
6
-
-
0033350985
-
On the figure of merit model for SEU rate calculations
-
J. Barak, R. A. Reed, and K. A. LaBel, "On the figure of merit model for SEU rate calculations," IEEE Transactions on Nuclear Science, vol. 46, no. 6, pp. 1504-1510, 1999.
-
(1999)
IEEE Transactions on Nuclear Science
, vol.46
, Issue.6
, pp. 1504-1510
-
-
Barak, J.1
Reed, R.A.2
Label, K.A.3
-
7
-
-
0029222559
-
Boron compounds as a dominant source of alpha particles in semiconductor devices
-
R. Baumann, T. Hossain, S. Murata, and H. Kitagawa, "Boron compounds as a dominant source of alpha particles in semiconductor devices," in Proceedings of the 33rd Int'l Reliability Physics Symp. (IRPS), IEEE EDS, pp. 297-302, 1995.
-
(1995)
Proceedings of the 33rd Int'l Reliability Physics Symp. (IRPS) IEEE EDS
, pp. 297-302
-
-
Baumann, R.1
Hossain, T.2
Murata, S.3
Kitagawa, H.4
-
9
-
-
29344472607
-
Radiation-induced soft errors in advanced semiconductor technologies
-
R. C. Baumann, "Radiation-induced soft errors in advanced semiconductor technologies," IEEE Transactions on Device and Materials Reliability, vol. 5, no. 3, pp. 305-316, 2005.
-
(2005)
IEEE Transactions on Device and Materials Reliability
, vol.5
, Issue.3
, pp. 305-316
-
-
Baumann, R.C.1
-
10
-
-
37249036031
-
Determination of geometry and absorption effects and their impact on the accuracy of alpha particle soft error rate extrapolations
-
R. C. Baumann and D. Radaelli, "Determination of geometry and absorption effects and their impact on the accuracy of alpha particle soft error rate extrapolations," IEEE Transactions on Nuclear Science, vol. 54, no. 6, pp. 2141-2148, 2007.
-
(2007)
IEEE Transactions on Nuclear Science
, vol.54
, Issue.6
, pp. 2141-2148
-
-
Baumann, R.C.1
Radaelli, D.2
-
11
-
-
0035127652
-
Neutron-induced 10B fission as a major source of soft errors in high density SRAMs
-
R. C. Baumann and E. B. Smith, "Neutron-induced 10B fission as a major source of soft errors in high density SRAMs," Elsevier Microelectronics Reliability, vol. 41, no. 2, pp. 211-218, 2001.
-
(2001)
Elsevier Microelectronics Reliability
, vol.41
, Issue.2
, pp. 211-218
-
-
Baumann, R.C.1
Smith, E.B.2
-
12
-
-
0031373956
-
Attenuation of single event induced pulses in cmos combinational logic
-
M. P. Baze and S. P. Buchner, "Attenuation of single event induced pulses in cmos combinational logic," IEEE Transactions on Nuclear Science, vol. 44, no. 6, pp. 2217-2223, 1997.
-
(1997)
IEEE Transactions on Nuclear Science
, vol.44
, Issue.6
, pp. 2217-2223
-
-
Baze, M.P.1
Buchner, S.P.2
-
13
-
-
0033734236
-
Logical modelling of delay degradation effect in static CMOS gates
-
M. J. Bellido-Diaz et al., "Logical modelling of delay degradation effect in static CMOS gates," IEEE Proceedings-Circuits Devices Systems, vol. 147, no. 2, pp. 107-111, 2000.
-
(2000)
IEEE Proceedings-Circuits Devices Systems
, vol.147
, Issue.2
, pp. 107-111
-
-
Bellido-Diaz, M.J.1
-
14
-
-
27544458902
-
Computing architectural vulnerability factors for address-based structures
-
A. Biswas, P. Racunas, R. Cheveresan, J. Emer, S. S. Mukherjee, and R. Rangan, "Computing architectural vulnerability factors for address-based structures," in Proceedings of the IEEE International Symposium on Computer Architecture (ISCA), pp. 532-543, 2005.
-
(2005)
Proceedings of the IEEE International Symposium on Computer Architecture (ISCA)
, pp. 532-543
-
-
Biswas, A.1
Racunas, P.2
Cheveresan, R.3
Emer, J.4
Mukherjee, S.S.5
Rangan, R.6
-
15
-
-
77952569650
-
Explaining cache ser anomaly using DUE AVF measurement
-
HPCA; Bangalore, India
-
A. Biswas, C. Recchia, S. S. Mukherjee, V. Ambrose, L. Chan, A. Jaleel, A. E. Papathanasiou, M. Plaster, and N. Seifert, "Explaining cache SER anomaly using DUE AVF measurement," in Proceedings of the High-Performance Computer Architecture, pp. 1-12, HPCA; Bangalore, India, 2010.
-
Proceedings of the High-Performance Computer Architecture
, vol.2010
, pp. 1-12
-
-
Biswas, A.1
Recchia, C.2
Mukherjee, S.S.3
Ambrose, V.4
Chan, L.5
Jaleel, A.6
Papathanasiou, A.E.7
Plaster, M.8
Seifert, N.9
-
16
-
-
0036289402
-
Fault-tolerant design of the IBM pSeries 690 system using POWER4 processor technology
-
D. C. Bossen, A. Kitamorn, K. F. Reick, and M. S. Floyd, "Fault-tolerant design of the IBM pSeries 690 system using POWER4 processor technology," IBM Journal of Research and Development, vol. 46, no. 1, p. 77, 2002.
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.1
, pp. 77
-
-
Bossen, D.C.1
Kitamorn, A.2
Reick, K.F.3
Floyd, M.S.4
-
17
-
-
0032319596
-
Single event upsets in implantable cardioverter defibrillators
-
P. D. Bradley and E. Normand, "Single event upsets in implantable cardioverter defibrillators," IEEE Transactions on Nuclear Science, vol. 45, p. 2929, 1998.
-
(1998)
IEEE Transactions on Nuclear Science
, vol.45
, pp. 2929
-
-
Bradley, P.D.1
Normand, E.2
-
18
-
-
51549099436
-
Multibit upsets in 65nm SOI SRAMs
-
E. H. Cannon, D. D. Reinhardt, M. S. Gordon, and P. S. Makowenskyj, "Multibit upsets in 65nm SOI SRAMs," in Proceedings of the Int'l Reliability Physics Symposium (IRPS), pp. 195-201, 2008.
-
(2008)
Proceedings of the Int'l Reliability Physics Symposium (IRPS)
, pp. 195-201
-
-
Cannon, E.H.1
Reinhardt, D.D.2
Gordon, M.S.3
Makowenskyj, P.S.4
-
19
-
-
84932102670
-
SRAM ser in 90, 130, and 180nm bulk and SOI technologies
-
E. H. Cannon et al., "SRAM SER in 90, 130, and 180nm bulk and SOI technologies," in Proceedings of the Int'l Reliability Physics Symposium (IRPS), pp. 300-304, 2004.
-
(2004)
Proceedings of the Int'l Reliability Physics Symposium (IRPS)
, pp. 300-304
-
-
Cannon, E.H.1
-
20
-
-
0027829860
-
A fast and accurate gate-level transient fault simulation environment
-
H. Cha, E. M. Rudnick, G. S. Choi, J. H. Patel, and R. K. Iyer, "A fast and accurate gate-level transient fault simulation environment," in The Twenty- Third International Symposium on Fault-Tolerant Computing, 1993. FTCS- 23. Digest of Papers, pp. 310-319, 1993.
-
(1993)
The Twenty- Third International Symposium on Fault-Tolerant Computing 1993. FTCS- 23 Digest of Papers
, pp. 310-319
-
-
Cha, H.1
Rudnick, E.M.2
Choi, G.S.3
Patel, J.H.4
Iyer, R.K.5
-
21
-
-
78650068306
-
Alpha radiation sources in low alpha materials: Pb free materials. Refining and alpha flux reduction
-
(SEMITOOL)
-
B. Clark, "Alpha radiation sources in low alpha materials: Pb free materials. Refining and alpha flux reduction," Peaks in packaging 2003 (SEMITOOL).
-
(2003)
Peaks in Packaging
-
-
Clark, B.1
-
22
-
-
4344609727
-
Alpha radiation sources in low alpha materials and implications for low alpha materials refinement
-
B. M. Clark, M. W. Weiser, and I. J. Rasiah, "Alpha radiation sources in low alpha materials and implications for low alpha materials refinement," Thin Solid Films, vol. 462-463, pp. 384-386, 2004.
-
(2004)
Thin Solid Films
, vol.462-463
, pp. 384-386
-
-
Clark, B.M.1
Weiser, M.W.2
Rasiah, I.J.3
-
23
-
-
0033281348
-
Alpha-SER modeling & simulation for sub-0.25 μm CMOS technology
-
C. Dai, N. Hakim, S. Hareland, J. Maiz, and S.-W. Lee, "Alpha-SER modeling & simulation for sub-0.25 μm CMOS technology," Symposium on VLSI Technology, pp. 81-82, 1999.
-
(1999)
Symposium on VLSI Technology
, pp. 81-82
-
-
Dai, C.1
Hakim, N.2
Hareland, S.3
Maiz, J.4
Lee, S.-W.5
-
24
-
-
84858520516
-
Neutron-SER modeling & simulation for 0.18 μm CMOS technology
-
C. Dai, N. Hakim, S. Walstra, S. Hareland, J. Maiz, S. Yu, and S.-W. Lee, "Neutron-SER modeling & simulation for 0.18 μm CMOS technology," SISPAD 2001, pp. 278-283, 2001.
-
(2001)
SISPAD
, vol.2001
, pp. 278-283
-
-
Dai, C.1
Hakim, N.2
Walstra, S.3
Hareland, S.4
Maiz, J.5
Yu, S.6
Lee, S.-W.7
-
25
-
-
78650016517
-
Trends from ten years of soft error experimentation
-
(SELSE 5, Stanford University, March 24 and 25 2009); A copy of the paper is available at
-
A. Dixit, R. Heald, and A. Wood, "Trends from ten years of soft error experimentation," IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE 5, Stanford University, March 24 and 25, 2009); A copy of the paper is available at: http://www.selse.org, 2009.
-
(2009)
IEEE Workshop on Silicon Errors in Logic - System Effects
-
-
Dixit, A.1
Heald, R.2
Wood, A.3
-
26
-
-
29344470310
-
Physics-based simulation of single-event effects
-
P. E. Dodd, "Physics-based simulation of single-event effects," IEEE Transactions on Device and Materials Reliability, vol. 5, no. 3, pp. 343-357, 2005.
-
(2005)
IEEE Transactions on Device and Materials Reliability
, vol.5
, Issue.3
, pp. 343-357
-
-
Dodd, P.E.1
-
27
-
-
0034451489
-
Single-event upset and snapback in Silicon-on-insulator devices and integrated circuits
-
P. E. Dodd, M. R. Shaneyfelt, D. S. Walsh, J. R. Schwank, G. L. Hash, R. A. Loemker, B. L. Draper, and P. S. Winokur, "Single-event upset and snapback in Silicon-on-insulator devices and integrated circuits," IEEE Transactions on Nuclear Science, vol. 47, no. 6, pp. 2165-2173, 2000.
-
(2000)
IEEE Transactions on Nuclear Science
, vol.47
, Issue.6
, pp. 2165-2173
-
-
Dodd, P.E.1
Shaneyfelt, M.R.2
Walsh, D.S.3
Schwank, J.R.4
Hash, G.L.5
Loemker, R.A.6
Draper, B.L.7
Winokur, P.S.8
-
28
-
-
0000127594
-
Electron-photon transport in FLUKA: Status
-
Lisbon
-
A. Fasso, A. Ferrari, and P. R. Sala, "Electron-photon transport in FLUKA: status," in Proceedings of Monte Carlo 2000 Conference, pp. 159-164, Lisbon, 2001.
-
(2001)
Proceedings of Monte Carlo 2000 Conference
, pp. 159-164
-
-
Fasso, A.1
Ferrari, A.2
Sala, P.R.3
-
29
-
-
58849108996
-
New insights into single event transient propagation in chains of inverters - Evidence for propagation-induced pulse broadening
-
V. Ferlet-Cavrois, P. Paillet, D. McMorrow, N. Fel, J. Baggio, S. Girard, O. Duhamel, J. S. Melinger, M. Gaillardin, J. R. Schwank, P. E. Dodd, M. R. Shaneyfelt, and J. A. Felix, "New insights into single event transient propagation in chains of inverters - evidence for propagation-induced pulse broadening," IEEE Transactions on Nuclear Science, vol. 55, no. 6, pp. 2842- 2853, 2008.
-
(2008)
IEEE Transactions on Nuclear Science
, vol.55
, Issue.6
, pp. 2842-2853
-
-
Ferlet-Cavrois, V.1
Paillet, P.2
McMorrow, D.3
Fel, N.4
Baggio, J.5
Girard, S.6
Duhamel, O.7
Melinger, J.S.8
Gaillardin, M.9
Schwank, J.R.10
Dodd, P.E.11
Shaneyfelt, M.R.12
Felix, J.A.13
-
30
-
-
0029752087
-
Critical charge calculations for a bipolar SRAM array
-
L. B. Freeman, "Critical charge calculations for a bipolar SRAM array," IBM Journal of Research Development, vol. 40, no. 1, pp. 119-129, 1996.
-
(1996)
IBM Journal of Research Development
, vol.40
, Issue.1
, pp. 119-129
-
-
Freeman, L.B.1
-
31
-
-
33144477941
-
Comparison of heavy ion and proton induced combinatorial and sequential logic error rates in a deep submicron process
-
M. J. Gadlage, P. H. Eaton, J. M. Benedetto, , and T. L. Turflinger, "Comparison of heavy ion and proton induced combinatorial and sequential logic error rates in a deep submicron process," IEEE Transactions on Nuclear Science, vol. 52, no. 6, pp. 2120-2124, 2005.
-
(2005)
IEEE Transactions on Nuclear Science
, vol.52
, Issue.6
, pp. 2120-2124
-
-
Gadlage, M.J.1
Eaton, P.H.2
Benedetto, J.M.3
Turflinger, T.L.4
-
32
-
-
33846280815
-
Digital device error rate trends in advanced CMOS technologies
-
M. J. Gadlage, P. H. Eaton, J. M. Benedetto, M. Carts, V. Zhu, and T. L. Turflinger, "Digital device error rate trends in advanced CMOS technologies," IEEE Transactions on Nuclear Science, vol. 53, no. 6, pp. 3466-3471, 2006.
-
(2006)
IEEE Transactions on Nuclear Science
, vol.53
, Issue.6
, pp. 3466-3471
-
-
Gadlage, M.J.1
Eaton, P.H.2
Benedetto, J.M.3
Carts, M.4
Zhu, V.5
Turflinger, T.L.6
-
33
-
-
37249088963
-
Multiple cell upsets as the key contribution to the total ser of 65nm CMOS SRAMs and its dependence on well engineering
-
G. Gasiot, D. Giot, and P. Roche, "Multiple cell upsets as the key contribution to the total SER of 65nm CMOS SRAMs and its dependence on well engineering," IEEE Transactions on Nuclear Science, vol. 54, no. 6, Part 1, pp. 2468-2473, 2007.
-
(2007)
IEEE Transactions on Nuclear Science
, vol.54
, Issue.6 PART 1
, pp. 2468-2473
-
-
Gasiot, G.1
Giot, D.2
Roche, P.3
-
34
-
-
51549113852
-
Comparison of multiple cell upset response of bulk and SOI 130nm technologies in the terrestrial environment
-
G. Gasiot, P. Roche, and P. Flatresse, "Comparison of multiple cell upset response of bulk and SOI 130nm technologies in the terrestrial environment," in Proceedings of Int'l Reliability Physics Symposium (IRPS), pp. 192-194, 2008.
-
(2008)
Proceedings of Int'l Reliability Physics Symposium (IRPS)
, pp. 192-194
-
-
Gasiot, G.1
Roche, P.2
Flatresse, P.3
-
35
-
-
70449106113
-
Comparison of alpha-particle and neutroninduced combinational and sequential logic error rates at the 32nm technology node
-
B. Gill, N. Seifert, and V. Zia, "Comparison of alpha-particle and neutroninduced combinational and sequential logic error rates at the 32nm technology node," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS), pp. 199-205, 2009.
-
(2009)
Proceedings of the IEEE International Reliability Physics Symposium (IRPS)
, pp. 199-205
-
-
Gill, B.1
Seifert, N.2
Zia, V.3
-
36
-
-
33845400956
-
Node sensitivity analysis for soft errors in CMOS logic
-
B. S. Gill, C. Papachristou, F. G.Wolff, and N. Seifert, "Node sensitivity analysis for soft errors in CMOS logic," in Proceedings of the IEEE International Test Conference, pp. 964-972, 2005.
-
(2005)
Proceedings of the IEEE International Test Conference
, pp. 964-972
-
-
Gill, B.S.1
Papachristou, C.2
Wolff, F.G.3
Seifert, N.4
-
37
-
-
78650048653
-
-
private communication (e-mail sent on August 29
-
P. Goldhagen, private communication (e-mail sent on August 29, 2005).
-
(2005)
-
-
Goldhagen, P.1
-
38
-
-
11044230008
-
Measurement of the flux and energy spectrum of cosmic-ray induced neutrons on the ground
-
M. S. Gordon, P. Goldhagen, K. P. Rodbell, T. H. Zabel, H. H. K. Tang, J. M. Clem, and P. Bailey, "Measurement of the flux and energy spectrum of cosmic-ray induced neutrons on the ground," IEEE Transactions on Nuclear Science, vol. 51, no. 6, pp. 3427-3434, 2004.
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.6
, pp. 3427-3434
-
-
Gordon, M.S.1
Goldhagen, P.2
Rodbell, K.P.3
Zabel, T.H.4
Tang, H.H.K.5
Clem, J.M.6
Bailey, P.7
-
39
-
-
8344252937
-
A comparative study between two neutron facilities regarding SEU
-
T. Granlund, B. Granbom, and N. Olsson, "A comparative study between two neutron facilities regarding SEU," IEEE Transactions on Nuclear Science, vol. 51, no. 5, pp. 2922-2926, 2004.
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.5
, pp. 2922-2926
-
-
Granlund, T.1
Granbom, B.2
Olsson, N.3
-
40
-
-
0018552951
-
Heavyion track structure in silicon
-
December
-
R. N. Hamm, J. E. Turner, H. A. Wright, and R. H. Ritchie, "Heavyion track structure in silicon," IEEE Transactions on Nuclear Science, vol. 26, no. 6, pp. 4892-4895, December 1979.
-
(1979)
IEEE Transactions on Nuclear Science
, vol.26
, Issue.6
, pp. 4892-4895
-
-
Hamm, R.N.1
Turner, J.E.2
Wright, H.A.3
Ritchie, R.H.4
-
41
-
-
0034789870
-
Impact of CMOS process scaling and SOI on the soft error rates of logic processes
-
S. Hareland, J. Maiz, M. Alavi, K. Mistry, S. Walstra, and C. Dai, "Impact of CMOS process scaling and SOI on the soft error rates of logic processes," Digest of Technical Papers of the Symposium on VLSI Technology, pp. 73-74, 2001.
-
(2001)
Digest of Technical Papers of the Symposium on VLSI Technology
, pp. 73-74
-
-
Hareland, S.1
Maiz, J.2
Alavi, M.3
Mistry, K.4
Walstra, S.5
Dai, C.6
-
42
-
-
17644440390
-
Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25 μm to 90 nm generation
-
8-10 December
-
P. Hazucha, T. Karnik, J. Maiz, S. Walstra, B. Bloechel, J. Tschanz, G. Dermer, S. Hareland, P. Armstrong, and S. Borkar, "Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25 μm to 90 nm generation," Electron Devices Meeting (IEDM) Technical Digest, pp. 21.5.1-21.5.4, 8-10 December 2003.
-
(2003)
Electron Devices Meeting (IEDM) Technical Digest
, pp. 2151-2154
-
-
Hazucha, P.1
Karnik, T.2
Maiz, J.3
Walstra, S.4
Bloechel, B.5
Tschanz, J.6
Dermer, G.7
Hareland, S.8
Armstrong, P.9
Borkar, S.10
-
43
-
-
0242443635
-
Measurements and analysis of ser tolerant latch in a 90-nm dual-Vt CMOS process
-
P. Hazucha, T. Karnik, S. Walstra, B. Bloechel, J. Tschanz, J. Maiz, K. Soumyanath, G. Demer, S. Narendra, V. De, and S. Borkar, "Measurements and analysis of SER tolerant latch in a 90-nm dual-Vt CMOS process," IEEE Custom Integrated Circuits Conference, pp. 617-620, 2003.
-
(2003)
IEEE Custom Integrated Circuits Conference
, pp. 617-620
-
-
Hazucha, P.1
Karnik, T.2
Walstra, S.3
Bloechel, B.4
Tschanz, J.5
Maiz, J.6
Soumyanath, K.7
Demer, G.8
Narendra, S.9
De, V.10
Borkar, S.11
-
44
-
-
4444365711
-
Measurements and analysis of SER-tolerant latch in a 90-nm dual-Vt CMOS process
-
September
-
P. Hazucha, T. Karnik, S. Walstra, B. A. Bloechel, J. W. Tschanz, J. Maiz, K. Soumyanath, G. E. Dermer, S. Narendra, V. De, and S. Borkar, "Measurements and analysis of SER-tolerant latch in a 90-nm dual-Vt CMOS process," IEEE Journal of Solid-State Circuits, vol. 39, no. 9, pp. 1536-1543, September 2004.
-
(2004)
IEEE Journal of Solid-State Circuits
, vol.39
, Issue.9
, pp. 1536-1543
-
-
Hazucha, P.1
Karnik, T.2
Walstra, S.3
Bloechel, B.A.4
Tschanz, J.W.5
Maiz, J.6
Soumyanath, K.7
Dermer, G.E.8
Narendra, S.9
De, V.10
Borkar, S.11
-
45
-
-
0034450511
-
Impact of CMOS technology scaling on the atmospheric neutron soft error rate
-
P. Hazucha and C. Svensson, "Impact of CMOS technology scaling on the atmospheric neutron soft error rate," IEEE Transactions on Nuclear Science, vol. 47, no. 6, pp. 2586-2594, 2000.
-
(2000)
IEEE Transactions on Nuclear Science
, vol.47
, Issue.6
, pp. 2586-2594
-
-
Hazucha, P.1
Svensson, C.2
-
46
-
-
0001658014
-
Extension of the MSIS thermospheric model into the middle and lower atmosphere
-
A. E. Hedin, "Extension of the MSIS thermospheric model into the middle and lower atmosphere," Journal of Geophysical Research, vol. 96, p. 1159, 1991.
-
(1991)
Journal of Geophysical Research
, vol.96
, pp. 1159
-
-
Hedin, A.E.1
-
47
-
-
34547254841
-
A comprehensive study on the soft-error rate of flip-flops from 90-nm production libraries
-
T. Heijmen, P. Roche, G. Gasiot, K. Forbes, and D. Giot, "A comprehensive study on the soft-error rate of flip-flops from 90-nm production libraries," IEEE Transactions on Device and Materials Reliability, vol. 7, no. 1, pp. 84-96, 2007.
-
(2007)
IEEE Transactions on Device and Materials Reliability
, vol.7
, Issue.1
, pp. 84-96
-
-
Heijmen, T.1
Roche, P.2
Gasiot, G.3
Forbes, K.4
Giot, D.5
-
48
-
-
78650062543
-
-
MCNPX, version 2.5.e; MCNPX is a general-purpose Monte Carlo radiation transport code owned by the Los Alamos National Lab; see
-
J. S. Hendricks et al. MCNPX, version 2.5.e; MCNPX is a general-purpose Monte Carlo radiation transport code owned by the Los Alamos National Lab; see https://mcnpx.lanl.gov/, 2004.
-
(2004)
-
-
Hendricks, J.S.1
-
49
-
-
9144268816
-
Single event characterization of the Pentium 4, Pentium III, and low power pentium MMX microprocessors usingproton irradiation
-
D. M. Hiemstra, S. Yu, and M. Pop, "Single event characterization of the Pentium 4, Pentium III, and low power pentium MMX microprocessors usingproton irradiation," Proceedings of the IEEE Radiation Effects Data Workshop, pp. 51-57, 2002.
-
(2002)
Proceedings of the IEEE Radiation Effects Data Workshop
, pp. 51-57
-
-
Hiemstra, D.M.1
Yu, S.2
Pop, M.3
-
50
-
-
0004102542
-
Timing models for CMOS circuits
-
Integrated Circuits Laboratory Stanford University
-
M. A. Horowitz, "Timing models For CMOS circuits," Technical Report SEL83-003, Integrated Circuits Laboratory, Stanford University, 1983.
-
(1983)
Technical Report SEL83-003
-
-
Horowitz, M.A.1
-
51
-
-
77957911501
-
LEAP: Layout design through error-aware transistor positioning for soft-error resilient sequential cell design
-
L. Hsiao-Heng, K. Lilja, M. Bounasser, P. Relangi, I. R. Linscot, U. S. Inan, and S. Mitra, "LEAP: Layout design through error-aware transistor positioning for soft-error resilient sequential cell design," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS), pp. 203-212, 2010.
-
Proceedings of the IEEE International Reliability Physics Symposium (IRPS)
, vol.2010
, pp. 203-212
-
-
Hsiao-Heng, L.1
Lilja, K.2
Bounasser, M.3
Relangi, P.4
Linscot, I.R.5
Inan, U.S.6
Mitra, S.7
-
52
-
-
34247275293
-
Prediction of transient induced by neutron/ proton in CMOS combinational logic cells
-
G. Hubert, A. Bougerol, F. Miller, N. Buard, L. Anghel, T. Carriere, F. Wrobel, and R. Gaillard, "Prediction of transient induced by neutron/ proton in CMOS combinational logic cells," IEEE International On-Line Testing Symposium, IOLTS, pp. 63-74, 2006.
-
(2006)
IEEE International On-Line Testing Symposium, IOLTS
, pp. 63-74
-
-
Hubert, G.1
Bougerol, A.2
Miller, F.3
Buard, N.4
Anghel, L.5
Carriere, T.6
Wrobel, F.7
Gaillard, R.8
-
53
-
-
0035722021
-
Detailed analysis of secondary ions' effect for the calculation of neutroninduced ser in SRAMs
-
G. Hubert, J.-M. Palau, K. Castellani-Coulie, M.-C. Calvet, and S. Fourtine, "Detailed analysis of secondary ions' effect for the calculation of neutroninduced SER in SRAMs," IEEE Transactions on Nuclear Science, vol. 48, no. 6, Part 1, pp. 1953-1959, 2001.
-
(2001)
IEEE Transactions on Nuclear Science
, vol.48
, Issue.6 PART. 1
, pp. 1953-1959
-
-
Hubert, G.1
Palau, J.-M.2
Castellani-Coulie, K.3
Calvet, M.-C.4
Fourtine, S.5
-
54
-
-
39049112433
-
Spreading diversity in multi-cell neutroninduced upsets with device scaling
-
E. Ibe, S. Chung, S. Wen, H. Yamaguchi, Y. Yahagi, H. Kameyama, S. Yamamoto, and T. Akioka, "Spreading diversity in multi-cell neutroninduced upsets with device scaling," in Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), pp. 437-444, 2006.
-
(2006)
Proceedings of the IEEE Custom Integrated Circuits Conference (CICC)
, pp. 437-444
-
-
Ibe, E.1
Chung, S.2
Wen, S.3
Yamaguchi, H.4
Yahagi, Y.5
Kameyama, H.6
Yamamoto, S.7
Akioka, T.8
-
55
-
-
77954030094
-
Impact of scaling on neutron-induced soft error in SRAMs from a 250nm to a 22 nm design rule
-
E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, and T. Toba, "Impact of scaling on neutron-induced soft error in SRAMs From a 250nm to a 22 nm design rule," IEEE Transactions on Elect́ron Devices, vol. 57, no. 7, pp. 1527-1538, 2010.
-
(2010)
IEEE Transactions on Elect́ron Devices
, vol.57
, Issue.7
, pp. 1527-1538
-
-
Ibe, E.1
Taniguchi, H.2
Yahagi, Y.3
Shimbo, K.4
Toba, T.5
-
57
-
-
0030127490
-
The influence of VLSI technology evolution on radiationinduced latchup in space systems
-
A. H. Johnston, "The influence of VLSI technology evolution on radiationinduced latchup in space systems," IEEE Transactions on Nuclear Science, vol. 43, no. 2, pp. 505-521, 1996.
-
(1996)
IEEE Transactions on Nuclear Science
, vol.43
, Issue.2
, pp. 505-521
-
-
Johnston, A.H.1
-
58
-
-
21644469547
-
Investigation of soft error rate including multi-bit upsets in advanced SRAM using neutron irradiation test and 3D mixed-mode device simulation
-
Y. Kawakami, M. Hane, H. Nakamura, T. Yamada, and K. Kumagai, "Investigation of soft error rate including multi-bit upsets in advanced SRAM using neutron irradiation test and 3D mixed-mode device simulation," IEEE International Technical Digest Electron Devices Meeting (IEDM), p. 38.4.1, 2004.
-
(2004)
IEEE International Technical Digest Electron Devices Meeting (IEDM)
, pp. 3841
-
-
Kawakami, Y.1
Hane, M.2
Nakamura, H.3
Yamada, T.4
Kumagai, K.5
-
59
-
-
33846289912
-
Modeling single-event upsets in 65-nm silicon-on-insulator semiconductor devices
-
A. J. KleinOsowski, P. Oldiges, R. Q. Williams, and P. M. Solomon, "Modeling single-event upsets in 65-nm silicon-on-insulator semiconductor devices," IEEE Transactions on Nuclear Science, vol. 53, no. 6, pp. 3321-3328, 2006.
-
(2006)
IEEE Transactions on Nuclear Science
, vol.53
, Issue.6
, pp. 3321-3328
-
-
Kleinosowski, A.J.1
Oldiges, P.2
Williams, R.Q.3
Solomon, P.M.4
-
60
-
-
70449106112
-
Alpha particle and neutron-induced soft error rates and scaling trends in SRAM
-
H. Kobayashi, N. Kawamoto, J. Kase, and K. Shiraish, "Alpha particle and neutron-induced soft error rates and scaling trends in SRAM," in Proceedings of IEEE International Reliability Physics Symposium (IRPS), pp. 206-211, 2009.
-
(2009)
Proceedings of IEEE International Reliability Physics Symposium (IRPS)
, pp. 206-211
-
-
Kobayashi, H.1
Kawamoto, N.2
Kase, J.3
Shiraish, K.4
-
61
-
-
33646902164
-
Accurate reliability evaluation and enhancement via probabilistic transfer matrices
-
S. Krishnaswamy, G. F. Viamontes, I. L. Markov, and J. P. Hayes, "Accurate reliability evaluation and enhancement via probabilistic transfer matrices," in Proceedings of Design, Automation and Test in Europe (DAT), vol. 1, pp. 282- 287, 2005.
-
(2005)
Proceedings of Design, Automation and Test in Europe (DAT)
, vol.1
, pp. 282-287
-
-
Krishnaswamy, S.1
Viamontes, G.F.2
Markov, I.L.3
Hayes, J.P.4
-
62
-
-
11044230873
-
Neutron-induced SEU in bulk SRAMs interrestrial environment: Simulations and experiments
-
December
-
D. Lambert, J. Baggio, V. Ferlet-Cavrois, O. Flament, F. Saigne, B. Sagnes, N. Buard, and T. Carriere, "Neutron-induced SEU in bulk SRAMs interrestrial environment: Simulations and experiments," IEEE Transactions on Nuclear Science, vol. 51, no. 6, pp. 3435-3441, December 2004.
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.6
, pp. 3435-3441
-
-
Lambert, D.1
Baggio, J.2
Ferlet-Cavrois, V.3
Flament, O.4
Saigne, F.5
Sagnes, B.6
Buard, N.7
Carriere, T.8
-
63
-
-
33144471711
-
Neutron-induced SEU in SRAMs: Simulations with n-Su and n-O interactions
-
D. Lambert, J. Baggio, V. Ferlet-Cavrois, O. Flament, F. Saigne, B. Sagnes, N. Buard, and T. Carriere, "Neutron-induced SEU in SRAMs: Simulations with n-Su and n-O interactions," IEEE Transactions on Nuclear Science, vol. 52, no. 6, pp. 2332-2339, 2005.
-
(2005)
IEEE Transactions on Nuclear Science
, vol.52
, Issue.6
, pp. 2332-2339
-
-
Lambert, D.1
Baggio, J.2
Ferlet-Cavrois, V.3
Flament, O.4
Saigne, F.5
Sagnes, B.6
Buard, N.7
Carriere, T.8
-
64
-
-
0003834102
-
-
Prentice-Hall, Inc., Englewood Cliffs, New Jersey
-
E. D. Lazowska, J. Zahorjan, G. Scott Graham, and K. C. Sevcik, Quantitative System Performance. Prentice-Hall, Inc., Englewood Cliffs, New Jersey, 1984.
-
(1984)
Quantitative System Performance
-
-
Lazowska, E.D.1
Zahorjan, J.2
Scott Graham, G.3
Sevcik, K.C.4
-
65
-
-
11044238786
-
An atmospheric radiation model based on response matrices generated by detailed Monte Carlo Simulations of cosmic ray interactions
-
F. Lei, S. Clucas, C. Dyer, and P. Truscott, "An atmospheric radiation model based on response matrices generated by detailed Monte Carlo Simulations of cosmic ray interactions," IEEE Transactions on Nuclear Science, vol. 51, no. 6, Part 2, pp. 3442-3451, 2004.
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.6 PART 2
, pp. 3442-3451
-
-
Lei, F.1
Clucas, S.2
Dyer, C.3
Truscott, P.4
-
66
-
-
0026382710
-
Guidelines for predicting single-event upsets in neutron environments
-
J. R. Letaw and E. Normand, "Guidelines for predicting single-event upsets in neutron environments," IEEE Transactions on Nuclear Science, vol. 38, no. 6, pp. 1500-1506.
-
IEEE Transactions on Nuclear Science
, vol.38
, Issue.6
, pp. 1500-1506
-
-
Letaw, J.R.1
Normand, E.2
-
68
-
-
84913870590
-
-
D. R. Lide ed., CRC Press, Boca Raton, FL, 73rd ed.
-
D. R. Lide, ed., Handbook of Chemistry and Physics. CRC Press, Boca Raton, FL, 73rd ed., pp. 11-115, 1972.
-
(1972)
Handbook of Chemistry and Physics
, pp. 11-115
-
-
-
69
-
-
0000861722
-
A proof for the queuing formula: L = λw
-
J. D. C. Little, "A proof for the queuing formula: L = λW," Operations Research, vol. 9, no. 3, pp. 383-387, 1961.
-
(1961)
Operations Research
, vol.9
, Issue.3
, pp. 383-387
-
-
Little, J.D.C.1
-
70
-
-
0003363303
-
Fundamentals of carrier transport
-
Addison-Wesley, Reading, MA
-
M. S. Lundstrom, "Fundamentals of carrier transport," vol. X, The Modular Series on Solid State Devices, Addison-Wesley, Reading, MA, 1990.
-
(1990)
The Modular Series on Solid State Devices
, vol.10
-
-
Lundstrom, M.S.1
-
71
-
-
0842266592
-
Characterization of multibit soft error events in advanced SRAMs
-
J. Maiz, S. Hareland, K. Zhang, and P. Armstrong, "Characterization of multibit soft error events in advanced SRAMs," International Electron Devices Meeting (IEDM), Technical Digest, pp. 21.4.1-21.4.4, 2003.
-
(2003)
International Electron Devices Meeting (IEDM), Technical Digest
, pp. 2141-2144
-
-
Maiz, J.1
Hareland, S.2
Zhang, K.3
Armstrong, P.4
-
72
-
-
0023562593
-
The size effect of ion charge tracks on single event multiple-bit upset
-
R. C. Martin, N. M. Ghoniem, Y. Song, and J. S. Cable, "The size effect of ion charge tracks on single event multiple-bit upset," IEEE Transactions on Nuclear Science, vol. 34, no. 6, pp. 1305-1309, 1987.
-
(1987)
IEEE Transactions on Nuclear Science
, vol.34
, Issue.6
, pp. 1305-1309
-
-
Martin, R.C.1
Ghoniem, N.M.2
Song, Y.3
Cable, J.S.4
-
73
-
-
58849135802
-
Single-event transient pulse propagation in digital CMOS
-
L. W. Massengill and P. W. Tuinenga, "Single-event transient pulse propagation in digital CMOS," IEEE Transactions on Nuclear Science, vol. 55, no. 6, Part 1, pp. 2861-2871, 2008.
-
(2008)
IEEE Transactions on Nuclear Science
, vol.55
, Issue.6 PART 1
, pp. 2861-2871
-
-
Massengill, L.W.1
Tuinenga, P.W.2
-
75
-
-
0001242477
-
Electron multiplication in silicon and germanium
-
K. G. McKay and K. B. McAfee, "Electron multiplication in silicon and germanium," Physical Review, vol. 91, pp. 1079-1084, 1953.
-
(1953)
Physical Review
, vol.91
, pp. 1079-1084
-
-
McKay, K.G.1
McAfee, K.B.2
-
76
-
-
0020298427
-
Collection of charge on junction nodes from ion tracks
-
G. C. Messenger, "Collection of charge on junction nodes from ion tracks," IEEE Transactions on Nuclear Science, vol. NS-29, no. 6, pp. 2024-2031, 1982.
-
(1982)
IEEE Transactions on Nuclear Science
, vol.NS-29
, Issue.6
, pp. 2024-2031
-
-
Messenger, G.C.1
-
77
-
-
33845641822
-
Circuit reliability analysis using symbolic techniques
-
N. Miskov-Zivanov and D. Marculescu, "Circuit reliability analysis using symbolic techniques," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 12, pp. 2638-2649, 2006.
-
(2006)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.12
, pp. 2638-2649
-
-
Miskov-Zivanov, N.1
Marculescu, D.2
-
78
-
-
42649138575
-
Modeling and optimization of softerror reliability of sequential circuits
-
N. Miskov-Zivanov and D. Marculescu, "Modeling and optimization of softerror reliability of sequential circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 5, pp. 803-816, 2008.
-
(2008)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.27
, Issue.5
, pp. 803-816
-
-
Miskov-Zivanov, N.1
Marculescu, D.2
-
79
-
-
50249185641
-
-
K. Mistry et al., "A 45nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% pb-free packaging," pp. 247-250, 2007.
-
(2007)
A 45nm Logic Technology with High-k+metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging
, pp. 247-250
-
-
Mistry, K.1
-
80
-
-
15044363155
-
Robust system design with built-in soft-error resilience
-
S. Mitra, N. Seifert, M. Zhang, Q. Shi, and K. S. Kim, "Robust system design with built-in soft-error resilience," Computer, vol. 38, no. 2, pp. 43-52, 2005.
-
(2005)
Computer
, vol.38
, Issue.2
, pp. 43-52
-
-
Mitra, S.1
Seifert, N.2
Zhang, M.3
Shi, Q.4
Kim, K.S.5
-
81
-
-
84899069130
-
-
One of many neutron monitors around the world that measure and post neutron flux statistics (current and archived) on the web
-
Moscow Neutron Monitor; http://helios.izmiran.rssi.ru/cosray/main.htm, One of many neutron monitors around the world that measure and post neutron flux statistics (current and archived) on the web.
-
Moscow Neutron Monitor;
-
-
-
82
-
-
0142184763
-
Cost-effective approach for reducing soft error failure rate in logic circuits
-
K. Mohanram and N. A. Touba, "Cost-effective approach for reducing soft error failure rate in logic circuits," in Proceedings of ITC, pp. 893-901, 2003.
-
(2003)
Proceedings of ITC
, pp. 893-901
-
-
Mohanram, K.1
Touba, N.A.2
-
83
-
-
0000793139
-
Cramming more components onto integrated circuits
-
G. E. Moore, "Cramming more components onto integrated circuits," Electronics, vol. 38, no. 8, 19, pp. 114-117, 1965.
-
(1965)
Electronics
, vol.38
, Issue.8-19
, pp. 114-117
-
-
Moore, G.E.1
-
85
-
-
2642540033
-
Cache scrubbing in microprocessors: Myth or necessity?
-
S. S. Mukherjee, J. Emer, T. Fossum, and S. K. Reinhardt, "Cache scrubbing in microprocessors: myth or necessity?," in Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, pp. 37-42, 2004.
-
(2004)
Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing
, pp. 37-42
-
-
Mukherjee, S.S.1
Emer, J.2
Fossum, T.3
Reinhardt, S.K.4
-
86
-
-
84944403418
-
A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor
-
December
-
S. S. Mukherjee, C. Weaver, J. Emer, S. K. Reinhardt, and T. Austin, "A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor," in 36th Annual International Symposium on Microarchitecture (MICRO), December 2003.
-
(2003)
36th Annual International Symposium on Microarchitecture (MICRO)
-
-
Mukherjee, S.S.1
Weaver, C.2
Emer, J.3
Reinhardt, S.K.4
Austin, T.5
-
87
-
-
0029770964
-
Soft-error Monte Carlo modeling program SEMM
-
P. C. Murley and G. C. Srinivasan, "Soft-error Monte Carlo modeling program SEMM," IBM Journal of Research and Development, vol. 40, no. 1, pp. 109-118, 1996.
-
(1996)
IBM Journal of Research and Development
, vol.40
, Issue.1
, pp. 109-118
-
-
Murley, P.C.1
Srinivasan, G.C.2
-
88
-
-
0032320420
-
Technique to measure an ion track profile
-
O. Musseau, V. Ferlet-Cavrois, A. B. Campbell, A. R. Knudson, S. Buchner, B. Fischer, and M. Schlogl, "Technique to measure an ion track profile," IEEE Transactions on Nuclear Science, vol. 45, no. 6, pp. 2563-2570, 1998.
-
(1998)
IEEE Transactions on Nuclear Science
, vol.45
, Issue.6
, pp. 2563-2570
-
-
Musseau, O.1
Ferlet-Cavrois, V.2
Campbell, A.B.3
Knudson, A.R.4
Buchner, S.5
Fischer, B.6
Schlogl, M.7
-
89
-
-
70449092311
-
-
PhD thesis Vanderbilt University, Nashville, TN, USA December
-
B. Narasimham, "Characterization of heavy-ion, neutron and alpha particleinduced single-event transient pulse width in advanced CMOS technologies," PhD thesis, Vanderbilt University, Nashville, TN, USA, December 2008.
-
(2008)
Characterization of Heavy-ion, Neutron and Alpha Particleinduced Single-event Transient Pulse Width in Advanced CMOS Technologies
-
-
Narasimham, B.1
-
90
-
-
51549116312
-
Neutron and alpha particle-induced transients in 90 nm technology
-
B. Narasimham, M. J. Gadlage, B. L. Bhuva, R. D. Schrimpf, L. W. Massengill, W. Timothy Holman, A. F. Witulski, X. Zhu, A. Balasubramanian, and S. A. Wender, "Neutron and alpha particle-induced transients in 90 nm technology," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS), pp. 478-481, 2008.
-
(2008)
Proceedings of the IEEE International Reliability Physics Symposium (IRPS)
, pp. 478-481
-
-
Narasimham, B.1
Gadlage, M.J.2
Bhuva, B.L.3
Schrimpf, R.D.4
Massengill, L.W.5
Timothy Holman, W.6
Witulski, A.F.7
Zhu, X.8
Balasubramanian, A.9
Wender, S.A.10
-
92
-
-
29344463887
-
Chip-level soft error estimation method
-
September
-
H. T. Nguyen, Y. Yagil, N. Seifert, and M. Reitsma, "Chip-level soft error estimation method," IEEE Transactions on Device and Materials Reliability, vol. 5, no. 3, pp. 365-381, September 2005.
-
(2005)
IEEE Transactions on Device and Materials Reliability
, vol.5
, Issue.3
, pp. 365-381
-
-
Nguyen, H.T.1
Yagil, Y.2
Seifert, N.3
Reitsma, M.4
-
93
-
-
29344459216
-
Design for soft error mitigation
-
M. Nicholaidis, "Design for soft error mitigation," IEEE Transactions on Device and Materials Reliability, vol. 5, no. 3, pp. 405-418, 2005.
-
(2005)
IEEE Transactions on Device and Materials Reliability
, vol.5
, Issue.3
, pp. 405-418
-
-
Nicholaidis, M.1
-
95
-
-
0033332803
-
Use of ENDF/B-VI proton and neutron cross sections for single event upset calculations
-
E. Normand, "Use of ENDF/B-VI proton and neutron cross sections for single event upset calculations," IEEE Transactions on Nuclear Science, vol. 46, p. 1386, 1999.
-
(1999)
IEEE Transactions on Nuclear Science
, vol.46
, pp. 1386
-
-
Normand, E.1
-
96
-
-
11044220912
-
Extension of the FOM method - Proton SEL and atmospheric neutron SEU
-
E. Normand, "Extension of the FOM method - proton SEL and atmospheric neutron SEU," IEEE Transactions on Nuclear Science, vol. 51, no. 6, Part 2, pp. 3494-3504, 2004.
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.6 PART 2
, pp. 3494-3504
-
-
Normand, E.1
-
97
-
-
11044220912
-
Extensions of the FOM method - Proton SEL and atmospheric neutron SEU
-
E. Normand, "Extensions of the FOM method - proton SEL and atmospheric neutron SEU," IEEE Transactions on Nuclear Science, vol. 51, no. 6, pp. 3494-3504, 2004.
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.6
, pp. 3494-3504
-
-
Normand, E.1
-
98
-
-
0034451995
-
Theoretical determination of the temporal and spatial structure of a-particle induced electron-hole pair generation in silicon
-
December
-
P. Oldiges, R. Dennard, D. Heidel, B. Klaasen, R. Assaderaghi, and M. Ieong, "Theoretical determination of the temporal and spatial structure of a-particle induced electron-hole pair generation in silicon," IEEE Transactions on Nuclear Science, vol. 47, no. 6, pp. 2575-2579, December 2000.
-
(2000)
IEEE Transactions on Nuclear Science
, vol.47
, Issue.6
, pp. 2575-2579
-
-
Oldiges, P.1
Dennard, R.2
Heidel, D.3
Klaasen, B.4
Assaderaghi, R.5
Ieong, M.6
-
99
-
-
34250750255
-
Investigation of thermal neutron induced soft error rates in commercial srams with 0.35 μm to 90 nm technologies
-
M. Olmos, R. Gaillard, A. Van Overberghe, J. Beaucour, S. Wen, and S. Chung, "Investigation of thermal neutron induced soft error rates in commercial srams with 0.35 μm to 90 nm technologies," International Physics Reliability Symposium, pp. 212-216, 2006.
-
(2006)
International Physics Reliability Symposium
, pp. 212-216
-
-
Olmos, M.1
Gaillard, R.2
Van Overberghe, A.3
Beaucour, J.4
Wen, S.5
Chung, S.6
-
100
-
-
84944062057
-
Attenuation of single event induced pulses in CMOS combinational logic
-
M. Omana, G. Papasso, D. Rossi, and C. Metra, "Attenuation of single event induced pulses in CMOS combinational logic," in Proceedings of the 9th IEEE International Online Testing Symposium (IOLTS), pp. 111-115, 2003.
-
(2003)
Proceedings of the 9th IEEE International Online Testing Symposium (IOLTS)
, pp. 111-115
-
-
Omana, M.1
Papasso, G.2
Rossi, D.3
Metra, C.4
-
101
-
-
84955243865
-
Contribution of device simulation to ser understanding
-
J. M. Palau, M. C. Calvet, P. E. Dodd, F. W. Sexton, and P. Roche, "Contribution of device simulation to SER understanding," in Proceedings of IEEE International Reliability Physics Symposium, pp. 71-75, 2003.
-
(2003)
Proceedings of IEEE International Reliability Physics Symposium
, pp. 71-75
-
-
Palau, J.M.1
Calvet, M.C.2
Dodd, P.E.3
Sexton, F.W.4
Roche, P.5
-
102
-
-
78650037883
-
-
private communication
-
E. Petersen private communication.
-
-
-
-
103
-
-
0032313624
-
The SEU figure of merit and proton upset rate calculations
-
E. L. Petersen, "The SEU figure of merit and proton upset rate calculations," IEEE Transactions on Nuclear Science, vol. 45, pp. 2550-2562, 1998.
-
(1998)
IEEE Transactions on Nuclear Science
, vol.45
, pp. 2550-2562
-
-
Petersen, E.L.1
-
104
-
-
78650042741
-
-
PHITS (Particle and Heavy Ion Transport code System)
-
PHITS (Particle and Heavy Ion Transport code System) http://phits. jaea.go.jp/.
-
-
-
-
105
-
-
78650042047
-
Accelerated neutron soft error rate testing of AMD microprocessors
-
presented at the, (SELSE 6, Stanford University, March 24 and 25 2009); Available online at
-
S. Prejean, "Accelerated neutron soft error rate testing of AMD microprocessors," presented at the IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE 6, Stanford University, March 24 and 25, 2009); Available online at: http://www.selse.org/.
-
IEEE Workshop on Silicon Errors in Logic - System Effects
-
-
Prejean, S.1
-
106
-
-
33144454816
-
Investigation of multi-bit upsets in a 150nm technology SRAM device
-
D. Radaelli, H. Puchner, W. Skip, and S. Daniel, "Investigation of multi-bit upsets in a 150nm technology SRAM device," IEEE Transactions on Nuclear Science, vol. 52, no. 6, 2006.
-
(2006)
IEEE Transactions on Nuclear Science
, vol.52
, Issue.6
-
-
Radaelli, D.1
Puchner, H.2
Skip, W.3
Daniel, S.4
-
107
-
-
0024127470
-
A new mode of radiation-induced soft errors in dynamic memories
-
T. V. Rajeevakumar, N. C. C. Lu, W. H. Henkels, W. Hwang, and R. Franch, "A new mode of radiation-induced soft errors in dynamic memories," IEEE Electron Device Letters, vol. 9, no. 12, pp. 644-646, 1988.
-
(1988)
IEEE Electron Device Letters
, vol.9
, Issue.12
, pp. 644-646
-
-
Rajeevakumar, T.V.1
Lu, N.C.C.2
Henkels, W.H.3
Hwang, W.4
Franch, R.5
-
108
-
-
34047185427
-
An efficient static algorithm for computing the soft error rates of combinational circuits
-
R. R. Rao, K. Chopra, D.Blaauw, and D. Sylvester, "An efficient static algorithm for computing the soft error rates of combinational circuits," in Proceedings of Design, Automation and Test in Europe (DATE), vol. 1, no. 6-10, pp. 1-6, 2006.
-
(2006)
Proceedings of Design, Automation and Test in Europe (DATE)
, vol.1
, Issue.6-10
, pp. 1-6
-
-
Rao, R.R.1
Chopra, K.2
Blaauw, D.3
Sylvester, D.4
-
109
-
-
78650062740
-
-
Robust Chip Inc. website
-
Robust Chip Inc. website: http://www.robustchip.com/.
-
-
-
-
110
-
-
37249026776
-
Lowenergy proton-induced single-event-upsets in 65nm node, silicon on-insulator, latches and memory cells
-
December
-
K. Rodbell, D. Heidel, H. Tang, M. Gordon, P. Oldiges, and C. Murray, "Lowenergy proton-induced single-event-upsets in 65nm node, silicon on-insulator, latches and memory cells," IEEE Transactions on Nuclear Science, vol. 54, no. 6, pp. 2474-2479, December 2007.
-
(2007)
IEEE Transactions on Nuclear Science
, vol.54
, Issue.6
, pp. 2474-2479
-
-
Rodbell, K.1
Heidel, D.2
Tang, H.3
Gordon, M.4
Oldiges, P.5
Murray, C.6
-
112
-
-
0025419560
-
Reliability of scrubbing recoverytechniques for memory systems
-
A. M. Saleh, J. J. Serrano, and J. H. Patel, "Reliability of scrubbing recoverytechniques for memory systems," IEEE Transactions on Reliability, vol. 39, no. 1, pp. 114-122, 1990.
-
(1990)
IEEE Transactions on Reliability
, vol.39
, Issue.1
, pp. 114-122
-
-
Saleh, A.M.1
Serrano, J.J.2
Patel, J.H.3
-
113
-
-
45749133027
-
Soft-error resilience of the IBM POWER6 processor
-
P. N. Sanda, J. W. Kellington, P. Kudva, R. Kalla, R. B. McBeth, J. Ackaret, R. Lockwood, J. Schumann, and C. R. Jones, "Soft-error resilience of the IBM POWER6 processor," IBM Journal of Research and Development, vol. 52, no. 3, pp. 275-284, 2008.
-
(2008)
IBM Journal of Research and Development
, vol.52
, Issue.3
, pp. 275-284
-
-
Sanda, P.N.1
Kellington, J.W.2
Kudva, P.3
Kalla, R.4
McBeth, R.B.5
Ackaret, J.6
Lockwood, R.7
Schumann, J.8
Jones, C.R.9
-
114
-
-
52049108013
-
Soft error rates of hardened sequentials utilizing local redundancy
-
invited talk, (Rhodes, Greece), 7-9 July
-
N. Seifert, "Soft error rates of hardened sequentials utilizing local redundancy," invited talk, IEEE International On-line Testing Symposium, (Rhodes, Greece), pp. 49-50, 7-9 July 2008.
-
(2008)
IEEE International On-line Testing Symposium
, pp. 49-50
-
-
Seifert, N.1
-
116
-
-
77957898943
-
On the radiationinduced soft error performance of hardened sequential elements in advanced bulk CMOS technologies
-
N. Seifert, V. Ambrose, B. Gill, Q. Shi, R. Allmon, C. Recchia, S. Mukherjee, N. Nassif, J. Krause, J. Pickholtz, and A. Balasubramanian, "On the radiationinduced soft error performance of hardened sequential elements in advanced bulk CMOS technologies," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS), pp. 188-197, 2010.
-
Proceedings of the IEEE International Reliability Physics Symposium (IRPS)
, vol.2010
, pp. 188-197
-
-
Seifert, N.1
Ambrose, V.2
Gill, B.3
Shi, Q.4
Allmon, R.5
Recchia, C.6
Mukherjee, S.7
Nassif, N.8
Krause, J.9
Pickholtz, J.10
Balasubramanian, A.11
-
117
-
-
51549107392
-
Multi-cell upset probabilities of 45nm high-k + metal gate SRAM devices in terrestrial and space environments
-
N. Seifert, B. Gill, K. Foley, and P. Relangi, "Multi-cell upset probabilities of 45nm high-k + metal gate SRAM devices in terrestrial and space environments," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS), pp. 181-186, 2008.
-
(2008)
Proceedings of the IEEE International Reliability Physics Symposium (IRPS)
, pp. 181-186
-
-
Seifert, N.1
Gill, B.2
Foley, K.3
Relangi, P.4
-
118
-
-
47349096208
-
On the scalability of redundancy based ser mitigation schemes
-
N. Seifert, B. Gill, V. Zia, M. Zhang, and V. Ambrose, "On the scalability of redundancy based SER mitigation schemes," in Proceedings of IEEE International Conference on Integrated Circuit Design and Technology (ICICDT), pp. 1-9, 2007.
-
(2007)
Proceedings of IEEE International Conference on Integrated Circuit Design and Technology (ICICDT)
, pp. 1-9
-
-
Seifert, N.1
Gill, B.2
Zia, V.3
Zhang, M.4
Ambrose, V.5
-
119
-
-
28744437617
-
Radiation induced clock jitter and race
-
IRPS, San Jose, CA April
-
N. Seifert, P. Shipley,M. D. Pant, V. Ambrose, and B. Gill, "Radiation induced clock jitter and race," in Proceedings of the IEEE International Reliability Physics Symposium, pp. 215-222, IRPS, San Jose, CA, April 2005.
-
(2005)
Proceedings of the IEEE International Reliability Physics Symposium
, pp. 215-222
-
-
Seifert, N.1
Shipleym. Pant D, P.2
Ambrose, V.3
Gill, B.4
-
120
-
-
34250777043
-
Radiation-induced soft error rates of advanced CMOS bulk devices
-
N. Seifert, P. Slankard, M. Kirsch, B. Narasimham, V. Zia, C. Brookreson, A. Vo, S. Mitra, B. Gill, and J. Maiz, "Radiation-induced soft error rates of advanced CMOS bulk devices," in Proceedings of the IEEE International Physics Symposium, pp. 217-225, 2006.
-
(2006)
Proceedings of the IEEE International Physics Symposium
, pp. 217-225
-
-
Seifert, N.1
Slankard, P.2
Kirsch, M.3
Narasimham, B.4
Zia, V.5
Brookreson, C.6
Vo, A.7
Mitra, S.8
Gill, B.9
Maiz, J.10
-
121
-
-
11144230787
-
Timing vulnerability factors of sequentials
-
N. Seifert and N. Tam, "Timing vulnerability factors of sequentials," IEEE Transactions on Device and Materials Reliability, vol. 4, no. 3, pp. 516-522, 2004.
-
(2004)
IEEE Transactions on Device and Materials Reliability
, vol.4
, Issue.3
, pp. 516-522
-
-
Seifert, N.1
Tam, N.2
-
122
-
-
0036956115
-
Impact of scaling on soft error rates in commercial microprocessors
-
N. Seifert, X. Zhu, and L. Massengill, "Impact of scaling on soft error rates in commercial microprocessors," IEEE Transactions on Nuclear Science, vol. 49, no. 5, pp. 3100-3106, 2002.
-
(2002)
IEEE Transactions on Nuclear Science
, vol.49
, Issue.5
, pp. 3100-3106
-
-
Seifert, N.1
Zhu, X.2
Massengill, L.3
-
124
-
-
78650075039
-
-
University of Illinois at Urbana-Champaign, April 11th-12th 2006 For recap/summary of SELSE 2 workshop, see
-
The Second Workshop on System Effects of Logic Soft Errors, University of Illinois at Urbana-Champaign, April 11th-12th, 2006. For recap/summary of SELSE 2 workshop, see: http://www.selse.org.
-
The Second Workshop on System Effects of Logic Soft Errors
-
-
-
125
-
-
78650061196
-
-
Sentaurus Advanced multidimensional (1D-3D) device simulator sold by Synopsys Inc.
-
Sentaurus Advanced multidimensional (1D-3D) device simulator sold by Synopsys Inc.; .
-
-
-
-
126
-
-
0036931372
-
Modeling the effect of technology trends on the soft error rate of combinational logic
-
June
-
P. Shivakumar, M. Kistler, S. W. Keckler, D. Burger, and L. Alvisi, "Modeling the effect of technology trends on the soft error rate of combinational logic," in Proceedings of the IEEE Dependable Systems and Networks Conference, pp. 389-398, June 2002.
-
(2002)
Proceedings of the IEEE Dependable Systems and Networks Conference
, pp. 389-398
-
-
Shivakumar, P.1
Kistler, M.2
Keckler, S.W.3
Burger, D.4
Alvisi, L.5
-
127
-
-
0004001955
-
-
The original SPICE simulator was developed at the University of California at Berkeley. SPICE is an acronym for, For more information, please see
-
The original SPICE simulator was developed at the University of California at Berkeley. SPICE is an acronym for "Simulation Program with Integrated Circuit Emphasis." For more information, please see http://www.eecs.berkeley.edu/.
-
Simulation Program with Integrated Circuit Emphasis
-
-
-
129
-
-
0030375853
-
Upset hardened memory design for submicron CMOS technology
-
December
-
R. V. T. Calin, M. Nicolaidis, "Upset hardened memory design for submicron CMOS technology," IEEE Transactions on Nuclear Science, vol. 43, no. 6, pp. 2874-2878, December 1996.
-
(1996)
IEEE Transactions on Nuclear Science
, vol.43
, Issue.6
, pp. 2874-2878
-
-
Calin, R.V.T.1
Nicolaidis, M.2
-
130
-
-
0027576605
-
Single event upset in avionics
-
A. Taber and E. Normand, "Single event upset in avionics," IEEE Transactions on Nuclear Science, vol. 40, no. 2, pp. 120-126, 1993.
-
(1993)
IEEE Transactions on Nuclear Science
, vol.40
, Issue.2
, pp. 120-126
-
-
Taber, A.1
Normand, E.2
-
131
-
-
11044232002
-
SEMM-2: A modeling system for single event upset analysis
-
H. H. K. Tang and E. H. Cannon, "SEMM-2: A modeling system for single event upset analysis," IEEE Transactions on Nuclear Science, vol. 51, no. 6, pp. 3342-3348, 2004.
-
(2004)
IEEE Transactions on Nuclear Science
, vol.51
, Issue.6
, pp. 3342-3348
-
-
Tang, H.H.K.1
Cannon, E.H.2
-
132
-
-
0029776929
-
Nuclear physics of cosmic ray interaction with semiconductor materials: Particle-induced soft errors from a physicist's perspective
-
H. K. Tang, "Nuclear physics of cosmic ray interaction with semiconductor materials: Particle-induced soft errors from a physicist's perspective," IBM Journal of Research and Development, vol. 40, no. 1, pp. 91-108, 1996.
-
(1996)
IBM Journal of Research and Development
, vol.40
, Issue.1
, pp. 91-108
-
-
Tang, H.K.1
-
133
-
-
0037292220
-
Single-event upsets in microelectronics: Fundamental physics and issues
-
February
-
H. K. Tang and K. P. Rodbell, "Single-event upsets in microelectronics: Fundamental physics and issues," Material Research Society Bulletin, vol. 28, pp. 111-116, February 2003.
-
(2003)
Material Research Society Bulletin
, vol.28
, pp. 111-116
-
-
Tang, H.K.1
Rodbell, K.P.2
-
134
-
-
78650067910
-
-
EDA tool developed and sold by iROC Technologies
-
EDA tool developed and sold by iROC Technologies: http://www. iroctech.com/.
-
-
-
-
135
-
-
21644463896
-
Comprehensive study of soft errors in advanced CMOS circuits with 90/130nm technology
-
Y. Tosaka, H. Ehara, M. Igeta, T. Uemura, H. Oka, N. Matsuoka, and K. Hatanaka, "Comprehensive study of soft errors in advanced CMOS circuits with 90/130nm technology," IEEE International Electron Devices Meeting, IEDM Technical Digest, pp. 38.3.1-38.3.4, 2004.
-
(2004)
IEEE International Electron Devices Meeting, IEDM Technical Digest
, pp. 3831-3834
-
-
Tosaka, Y.1
Ehara, H.2
Igeta, M.3
Uemura, T.4
Oka, H.5
Matsuoka, N.6
Hatanaka, K.7
-
136
-
-
0033335620
-
Simulation technologies for cosmic ray neutron-induced soft errors: Models and simulation systems
-
Y. Tosaka, H. Kanata, T. Itakura, and S. Satoh, "Simulation technologies for cosmic ray neutron-induced soft errors: Models and simulation systems," IEEE Transactions on Nuclear Science, vol. 46, pp. 774-779, 1999.
-
(1999)
IEEE Transactions on Nuclear Science
, vol.46
, pp. 774-779
-
-
Tosaka, Y.1
Kanata, H.2
Itakura, T.3
Satoh, S.4
-
137
-
-
0033080235
-
Simple method for estimating neutron-induced soft error rates based on modified BGR model
-
Y. Tosaka, H. Kanata, S. Satoh, and T. Itakura, "Simple method for estimating neutron-induced soft error rates based on modified BGR model," IEEE Electron Device Letters, vol. 20, no. 2, pp. 89-91, 1999.
-
(1999)
IEEE Electron Device Letters
, vol.20
, Issue.2
, pp. 89-91
-
-
Tosaka, Y.1
Kanata, H.2
Satoh, S.3
Itakura, T.4
-
138
-
-
51549104506
-
Simultaneous measurement of soft error rate of 90nm CMOS SRAM and cosmic ray neutron spectra at the summit of Mauna Kea
-
Y. Tosaka, R. Takasu, T. Uemura, H. Ehara, H. Matsuyama, S. Satoh, and A. Kawai, "Simultaneous measurement of soft error rate of 90nm CMOS SRAM and cosmic ray neutron spectra at the summit of Mauna Kea," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS), pp. 727-728, 2008.
-
(2008)
Proceedings of the IEEE International Reliability Physics Symposium (IRPS)
, pp. 727-728
-
-
Tosaka, Y.1
Takasu, R.2
Uemura, T.3
Ehara, H.4
Matsuyama, H.5
Satoh, S.6
Kawai, A.7
-
139
-
-
56349146139
-
Single event upset modeling with nuclear reactions in nanoscale electronics
-
M. Turowski, A. Fedoseyev, A. Raman, and K. Warren, "Single event upset modeling with nuclear reactions in nanoscale electronics," International Conference on Mixed Design of Integrated Circuits and Systems, (MIXDES), pp. 443-448, 2008.
-
(2008)
International Conference on Mixed Design of Integrated Circuits and Systems, (MIXDES)
, pp. 443-448
-
-
Turowski, M.1
Fedoseyev, A.2
Raman, A.3
Warren, K.4
-
140
-
-
78650063170
-
-
unpublished
-
S. Walstra, unpublished.
-
-
-
Walstra, S.1
-
141
-
-
29344451707
-
Circuit-level modeling of soft errors in integrated circuits
-
S. V. Walstra and C. Dai, "Circuit-level modeling of soft errors in integrated circuits," IEEE Transactions on Device and Materials Reliability, vol. 5, no. 3, pp. 358-364, 2005.
-
(2005)
IEEE Transactions on Device and Materials Reliability
, vol.5
, Issue.3
, pp. 358-364
-
-
Walstra, S.V.1
Dai, C.2
-
142
-
-
48349129464
-
Soft error rate analysis for combinational logic using an accurate electrical masking model
-
F. Wang and Y. Xie, "Soft error rate analysis for combinational logic using an accurate electrical masking model," IEEE Transactions on Dependable and Secure Computing, 2007.
-
(2007)
IEEE Transactions on Dependable and Secure Computing
-
-
Wang, F.1
Xie, Y.2
-
143
-
-
58849097167
-
Integrating circuit level simulation and Monte-Carlo radiation transport code for single event upset analysis in SEU hardened circuitry
-
K. M. Warren, A. L. Sternberg, R. A. Weller, M. P. Baze, L. W. Massengill, R. A. Reed, M. H. Mendenhall, and R. D. Schrimpf, "Integrating circuit level simulation and Monte-Carlo radiation transport code for single event upset analysis in SEU hardened circuitry," IEEE Transactions on Nuclear Science, vol. 55, no. 6, Part 1, pp. 2886-2894, 2008.
-
(2008)
IEEE Transactions on Nuclear Science
, vol.55
, Issue.6 PART 1
, pp. 2886-2894
-
-
Warren, K.M.1
Sternberg, A.L.2
Weller, R.A.3
Baze, M.P.4
Massengill, L.W.5
Reed, R.A.6
Mendenhall, M.H.7
Schrimpf, R.D.8
-
144
-
-
34548071611
-
Application of RADSAFE to model the single event upset response of a 0.25 μm CMOS SRAM
-
K. M. Warren, R. A. Weller, B. D. Sierawski, R. A. Reed, M. H. Mendenhall, R. D. Schrimpf, L. W. Massengill, M. E. Porter, J. D. Wilkinson, K. A. Label, and J. H. Adams, "Application of RADSAFE to model the single event upset response of a 0.25 μm CMOS SRAM," IEEE Transactions on Nuclear Science, vol. 43, no. 4, Part 2, pp. 898-903, 2007.
-
(2007)
IEEE Transactions on Nuclear Science
, vol.43
, Issue.4 PART 2
, pp. 898-903
-
-
Warren, K.M.1
Weller, R.A.2
Sierawski, B.D.3
Reed, R.A.4
Mendenhall, M.H.5
Schrimpf, R.D.6
Massengill, L.W.7
Porter, M.E.8
Wilkinson, J.D.9
Label, K.A.10
Adams, J.H.11
-
145
-
-
77957893752
-
Thermal neutron soft error rate for SRAMs in the 90 nm-45nm technology range
-
S. Wen, R. Wong, M. Romain, and N. Tam, "Thermal neutron soft error rate for SRAMs in the 90 nm-45nm technology range," in Proceedings of IEEE International Reliability Physics Symposium (IRPS), pp. 1036-1039, 2010.
-
Proceedings of IEEE International Reliability Physics Symposium (IRPS)
, vol.2010
, pp. 1036-1039
-
-
Wen, S.1
Wong, R.2
Romain, M.3
Tam, N.4
-
146
-
-
0034451209
-
Incidence of multi-particle events on soft error rates caused by n-Si nuclear reactions
-
December
-
F. Wrobel, J. M. Palau, M. C. Calvet, O. Bersillon, and H. Duarte, "Incidence of multi-particle events on soft error rates caused by n-Si nuclear reactions," IEEE Transactions on Nuclear Science, vol. 47, pp. 2580-2585, December 2000.
-
(2000)
IEEE Transactions on Nuclear Science
, vol.47
, pp. 2580-2585
-
-
Wrobel, F.1
Palau, J.M.2
Calvet, M.C.3
Bersillon, O.4
Duarte, H.5
-
147
-
-
42549133622
-
Novel mechanism of neutron-induced multi-cell error in CMOS devices tracked down from 3D device simulations
-
Y. Yahagi, H. Yamaguchi, E. Ibe, H. Kameyama, M. Sato, T. Akioka, and S. Yamamoto, "Novel mechanism of neutron-induced multi-cell error in CMOS devices tracked down from 3D device simulations," in Simulation of Semiconductor Processes and Devices (SISPAD), pp. 184-187, 2006.
-
(2006)
Simulation of Semiconductor Processes and Devices (SISPAD)
, pp. 184-187
-
-
Yahagi, Y.1
Yamaguchi, H.2
Ibe, E.3
Kameyama, H.4
Sato, M.5
Akioka, T.6
Yamamoto, S.7
-
149
-
-
33846595665
-
Sequential element design with built-in soft error resilience
-
M. Zhang, S. Mitra, T. M. Mak, N. Seifert, N. J. Wang, Q. Shi, K. Sup Kim, N. R. Shanbhag, and S. J. Patel, "Sequential element design with built-in soft error resilience," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 12, pp. 1368-1378, 2006.
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.12
, pp. 1368-1378
-
-
Zhang, M.1
Mitra, S.2
Mak, T.M.3
Seifert, N.4
Wang, N.J.5
Shi, Q.6
Sup Kim, K.7
Shanbhag, N.R.8
Patel, S.J.9
-
150
-
-
33748331354
-
Soft-error-rate-analysis (SERA) methodology
-
M. Zhang and N. R. Shanbhag, "Soft-error-rate-analysis (SERA) methodology," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 10, pp. 2140-2155, 2006.
-
(2006)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.10
, pp. 2140-2155
-
-
Zhang, M.1
Shanbhag, N.R.2
-
151
-
-
0029732557
-
Terrestrial cosmic rays
-
J. F. Ziegler, "Terrestrial cosmic rays," IBM Journal of Research and Development, vol. 40, no. 1, pp. 19-39, 1996.
-
(1996)
IBM Journal of Research and Development
, vol.40
, Issue.1
, pp. 19-39
-
-
Ziegler, J.F.1
-
152
-
-
0031610986
-
Terrestrial cosmic ray intensities
-
J. F. Ziegler, "Terrestrial cosmic ray intensities," IBM Journal of Research and Development, vol. 42, no. 1, pp. 117-139, 1998.
-
(1998)
IBM Journal of Research and Development
, vol.42
, Issue.1
, pp. 117-139
-
-
Ziegler, J.F.1
-
154
-
-
0018716817
-
Effect of cosmic rays on computer memories
-
J. F. Ziegler and W. A. Lanford, "Effect of cosmic rays on computer memories," Science, vol. 206, no. 4420, pp. 776-788, 1979.
-
(1979)
Science
, vol.206
, Issue.4420
, pp. 776-788
-
-
Ziegler, J.F.1
Lanford, W.A.2
-
155
-
-
0019577364
-
The effect of sea level cosmic rays on electronic devices
-
J. F. Ziegler and W. A. Lanford, "The effect of sea level cosmic rays on electronic devices," Journal of Applied Physics, vol. 52, pp. 4305-4318, 1981.
-
(1981)
Journal of Applied Physics
, vol.52
, pp. 4305-4318
-
-
Ziegler, J.F.1
Lanford, W.A.2
|