-
1
-
-
0024750286
-
Code generation using tree matching and dynamic programming
-
DOI 10.1145/69558.75700
-
AHO, A. V.,GANAPATHI, M., AND TJIANG, S. W. K. 1989. Code generation using tree matching and dynamic programming. ACM Trans. Programm. Lang. Syst. 11, 4, 491-516. (Pubitemid 20622727)
-
(1989)
ACM Transactions on Programming Languages and Systems
, vol.11
, Issue.4
, pp. 491-516
-
-
Aho Alfred, V.1
Tjiang Steven, W.K.2
Ganapathi Mahadevan3
-
2
-
-
84869345560
-
Removing communications in clustered microarchitectures through instruction replication
-
ALETÀ, A., CODINA, J. M., GONZÁLEZ, A., AND KAELI, D. 2004. Removing communications in clustered microarchitectures through instruction replication. ACM Trans. Archit. Code Optimiz. 1, 2, 127-151.
-
(2004)
ACM Trans. Archit. Code Optimiz.
, vol.1
, Issue.2
, pp. 127-151
-
-
Aletà, A.1
Codina, J.M.2
González, A.3
Kaeli, D.4
-
3
-
-
27644448326
-
A dag-based design approach for reconfigurable vliw processors
-
March
-
ALIPPI, C., FORNACIARI, W., POZZI, L., AND SAMI, M. March 1999. A dag-based design approach for reconfigurable vliw processors. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'99). 778-779.
-
(1999)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'99)
, pp. 778-779
-
-
Alippi, C.1
Fornaciari, W.2
Pozzi, L.3
Sami, M.4
-
4
-
-
0034842153
-
Determining the optimum extended instruction-set architecture for application specific reconfigurable VLIW CPUs
-
ALIPPI, C., FORNACIARI, W., POZZI, L., AND SAMI, M. 2001. Determining the optimum extended instruction-set architecture for application specific reconfigurable vliw cpus. In Proceedings of the 12th International Workshop on Rapid System Prototyping (RSP'01). 50-56. (Pubitemid 32840892)
-
(2001)
Proceedings of the International Workshop on Rapid System Prototyping
, pp. 50-56
-
-
Alippi, C.1
Fornaciari, W.2
Pozzi, L.3
Sami, M.4
-
5
-
-
0027797142
-
An asip instruction set optimization algorithm with functional module sharing constraint
-
ALOMARY, A., NAKATA, T., HONMA, Y., IMAI, M., AND HIKICHI, N. 1993. An asip instruction set optimization algorithm with functional module sharing constraint. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'93). 526-532.
-
(1993)
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'93)
, pp. 526-532
-
-
Alomary, A.1
Nakata, T.2
Honma, Y.3
Imai, M.4
Hikichi, N.5
-
7
-
-
84946098797
-
Hardware-Software partitioning in embedded system design
-
ARATÓ, P., JUHÁSZ, S.,Á DÁM MANN, Z., ORBÁN, A., AND PAPP, D. 2003. Hardware-Software partitioning in embedded system design. In Proceedings of the IEEE International Symposium on Intelligent Signal Processing (WISP'03). 197-202.
-
(2003)
Proceedings of the IEEE International Symposium on Intelligent Signal Processing (WISP'03)
, pp. 197-202
-
-
Arató, P.1
Juhász, S.A.2
Dám Mann, Z.3
Orbán, A.4
Papp, D.5
-
13
-
-
3543091438
-
Automatic application-specific instruction-set extensions under microarchitectural constraints
-
Special issue: Workshop on application specific processors (WASP)
-
ATASU, K., POZZI, L., AND IENNE, P. 2003b. Automatic application-specific instruction-set extensions under microarchitectural constraints. Int. J. Parall. Programm. 31, 6, Special issue: Workshop on application specific processors (WASP), 411-428.
-
(2003)
Int. J. Parall. Programm.
, vol.31
, Issue.6
, pp. 411-428
-
-
Atasu, K.1
Pozzi, L.2
Ienne, P.3
-
15
-
-
34548316193
-
Optimizing instruction-set extensible processors under data bandwidth constraints
-
DOI 10.1109/DATE.2007.364657, 4211862, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
ATASU, K., DIMOND, R. G., MENCER, O., LUK, W.,ÖZTURAN, C., AND D ÜNDAR, G. 2007. Optimizing instruction-set extensible processors under data bandwidth constraints. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). 588-593. (Pubitemid 47334019)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 588-593
-
-
Atasu, K.1
Dimond, R.G.2
Mencer, O.3
Luk, W.4
Ozturan, C.5
Dundar, G.6
-
16
-
-
51649113423
-
Fast custom instruction identification by convex subgraph enumeration
-
ATASU, K.,MENCER, O., LUK, W.,ÖZTURAN, C., AND D ÜNDAR, G. 2008. Fast custom instruction identification by convex subgraph enumeration. In Proceedings of the International Conference on Application- Specific Systems, Architectures and Processors (ASAP'08). 1-6.
-
(2008)
Proceedings of the International Conference on Application- Specific Systems, Architectures and Processors (ASAP'08)
, pp. 1-6
-
-
Atasu, K.1
Mencer, O.2
Luk, W.3
Özturan, C.4
Dündar, G.5
-
17
-
-
0027561268
-
Processor reconfiguration through instruction-set metamorphosis
-
ATHANAS, P. M. AND SILVERMAN, H. F. 1993. Processor reconfiguration through instruction-set metamorphosis. Comput. 26, 3, 11-18.
-
(1993)
Comput.
, vol.26
, Issue.3
, pp. 11-18
-
-
Athanas, P.M.1
Silverman, H.F.2
-
18
-
-
0036045933
-
HW/SW partitioning and code generation of embedded control applications on a reconfigurable architecture platform
-
BALEANI, M., GENNARI, F., JIANG, Y., PATEL, Y., BRAYTON, R. K., AND SANGIOVANNI-VINCENTELLI, A. 2002. Hw/sw partitioning and code generation of embedded control applications on a reconfigurable architecture platform. In Proceedings of the 10th International Symposium on Hardware/Software Codesign (CODES'02). 151-156. (Pubitemid 35023426)
-
(2002)
Hardware/Software Codesign - Proceedings of the International Workshop
, pp. 151-156
-
-
Baleani, M.1
Gennari, F.2
Jiang, Y.3
Patel, Y.4
Brayton, R.K.5
Sangiovanni- Vincentelli, A.6
-
20
-
-
0036709503
-
Reconfigurable instruction set processors from a hardware/software perspective
-
DOI 10.1109/TSE.2002.1033225
-
BARAT, F., LAUWEREINS, R., AND DECONINCK, G. 2002. Reconfigurable instruction set processors from a hardware/software perspective. IEEE Trans. Softw. Engin. 28, 9, 847-862. (Pubitemid 35242629)
-
(2002)
IEEE Transactions on Software Engineering
, vol.28
, Issue.9
, pp. 847-862
-
-
Barat, F.1
Lauwereins, R.2
Deconinck, G.3
-
23
-
-
0029711611
-
A hardware/software partitioning algorithm for designing pipelined asips with least gate counts
-
BÌNH, N. N., IMAI, M., SHIOMI, A., AND HIKICHI, N. 1996b. A hardware/software partitioning algorithm for designing pipelined asips with least gate counts. In Proceedings of the 33rd Annual Conference on Design Automation (DAC'96). 527-532.
-
(1996)
Proceedings of the 33rd Annual Conference on Design Automation (DAC'96)
, pp. 527-532
-
-
Bình, N.N.1
Imai, M.2
Shiomi, A.3
Hikichi, N.4
-
25
-
-
18844416342
-
Reducing code size for heterogeneous-connectivity-based VLIW DSPs through synthesis of instruction set extensions
-
CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
BISWAS, P. AND DUTT, N. 2003b. Reducing code size for heterogeneous-connectivity-based vliw dsps through syntheis of instruction set extensions. In Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'03). 104-112. (Pubitemid 40682154)
-
(2003)
CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 104-112
-
-
Biswas, P.1
Dutt, N.2
-
26
-
-
27444437658
-
Code size reduction in heterogeneous-connectivity-based DSPs using instruction set extensions
-
DOI 10.1109/TC.2005.157
-
BISWAS, P. AND DUTT, N. D. 2005. Code size reduction in heterogeneous-connectivity-based dsps using instruction set extensions. IEEE Trans. Comput. 54, 10, 1216-1226. (Pubitemid 41529418)
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.10
, pp. 1216-1226
-
-
Biswas, P.1
Dutt, N.D.2
-
27
-
-
84867488636
-
Fast automated generation of high-quality instruction set extensions for processor customization
-
September
-
BISWAS, P., BANERJEE, S., DUTT, N., POZZI, L., AND IENNE, P. September 2004a. Fast automated generation of high-quality instruction set extensions for processor customization. In Proceedings of the 3rd Workshop on Application Specific Processors (WASP'04).
-
(2004)
Proceedings of the 3rd Workshop on Application Specific Processors (WASP'04)
-
-
Biswas, P.1
Banerjee, S.2
Dutt, N.3
Pozzi, L.4
Ienne, P.5
-
28
-
-
4444275354
-
Introduction of local memory elements in instruction set extensions
-
BISWAS, P., CHOUDHARY, V., ATASU, K., POZZI, L., IENNE, P., AND DUTT, N. 2004b. Introduction of local memory elements in instruction set extensions. In Proceedings of the 41st Annual Conference on Design Automation (DAC'04). 729-734.
-
(2004)
Proceedings of the 41st Annual Conference on Design Automation (DAC'04)
, pp. 729-734
-
-
Biswas, P.1
Choudhary, V.2
Atasu, K.3
Pozzi, L.4
Ienne, P.5
Dutt, N.6
-
29
-
-
33646927796
-
ISEGEN: Generation of high-quality instruction set extensions by iterative improvement
-
DOI 10.1109/DATE.2005.191, 1395764, Proceedings - Design, Automation and Test in Europe, DATE '05
-
BISWAS, P., BANERJEE, S., DUTT, N., POZZI, L., AND IENNE, P. 2005. Isegen: Generation of high-quality instruction set extensions by iterative improvement. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'05). 1246-1251. (Pubitemid 44172180)
-
(2005)
Proceedings -Design, Automation and Test in Europe, DATE '05
, vol.II
, pp. 1246-1251
-
-
Biswas, P.1
Banerjee, S.2
Dutt, N.3
Pozzi, L.4
Ienne, P.5
-
30
-
-
34047097444
-
Automatic identification of application-specific functional units with architecturally visible storage
-
European Design and Automation Association
-
BISWAS, P., DUTT, N., IENNE, P., AND POZZI, L. 2006. Automatic identification of application-specific functional units with architecturally visible storage. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06). European Design and Automation Association, 212-217.
-
(2006)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06)
, pp. 212-217
-
-
Biswas, P.1
Dutt, N.2
Ienne, P.3
Pozzi, L.4
-
32
-
-
34548295212
-
Polynomial-time subgraph enumeration for automated instruction set extension
-
DOI 10.1109/DATE.2007.364482, 4211992, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
BONZINI, P. AND POZZI, L. 2007a. Polynomial-Time subgraph enumeration for automated instruction set extension. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). 1331-1336. (Pubitemid 47334146)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 1331-1336
-
-
Bonzini, P.1
Pozzi, L.2
-
34
-
-
14244257987
-
Fast instruction set customization
-
Proceedings of the 2004 2nd Workshop on Embedded Systems for Real-Time Multimedia
-
BORIN, E., KLEIN, F.,MOREANO, N., AZEVEDO, R., AND ARAUJO, G. 2004. Fast instruction set customization. In 2nd Workshop on Embedded Systems for Real-Time Multimedia (ESTImedia'04). 53-58. (Pubitemid 40286403)
-
(2004)
Proceedings of the 2004 2nd Workshop on Embedded Systems for Real-Time Multimedia
, pp. 53-58
-
-
Borin, E.1
Klein, F.2
Moreano, N.3
Azevedo, R.4
Araujo, G.5
-
36
-
-
77952993550
-
Instruction generation and regularity extraction for reconfigurable processors
-
BRISK, P., KAPLAN, A., KASTNER, R., AND SARRAFZADEH, M. 2002. Instruction generation and regularity extraction for reconfigurable processors. In Proceedings of the 2002 International Conference on Compilers, Architecture, and Sfor Embedded Systems (CASES'02). 262-269.
-
(2002)
Proceedings of the 2002 International Conference on Compilers, Architecture, and Sfor Embedded Systems (CASES'02)
, pp. 262-269
-
-
Brisk, P.1
Kaplan, A.2
Kastner, R.3
Sarrafzadeh, M.4
-
39
-
-
0030105339
-
Graph isomorphism and identification matrices: Parallel algorithms
-
CHEN, L. 1996. Graph isomorphism and identification matrices: Parallel algorithms. IEEE Trans. Parall. Distrib. Syst. 7, 3, 308-319. (Pubitemid 126776330)
-
(1996)
IEEE Transactions on Parallel and Distributed Systems
, vol.7
, Issue.3
, pp. 308-319
-
-
Chen, L.1
-
40
-
-
84893735242
-
Rapid configuration and instruction selection for an asip: A case study
-
CHEUNG, N., HENKEL, J., AND PARAMESWARAN, S. 2003a. Rapid configuration and instruction selection for an asip: A case study. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'03).
-
(2003)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'03)
-
-
Cheung, N.1
Henkel, J.2
Parameswaran, S.3
-
43
-
-
0032320170
-
Synthesis of application specific instructions for embedded dsp software
-
CHOI, H., HWANG, S. H., KYUNG, C.-M., AND PARK, I.-C. 1998. Synthesis of application specific instructions for embedded dsp software. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'98). 665-671.
-
(1998)
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'98)
, pp. 665-671
-
-
Choi, H.1
Hwang, S.H.2
Kyung, C.-M.3
Park, I.-C.4
-
44
-
-
0032653125
-
Synthesis of application specific instructions for embedded dsp software
-
CHOI, H., KIM, J.-S., YOON, C.-W., PARK, I.-C., HWANG, S. H., AND KYUNG, C.-M. 1999. Synthesis of application specific instructions for embedded dsp software. IEEE Trans. Comput. 48, 6, 603-614.
-
(1999)
IEEE Trans. Comput.
, vol.48
, Issue.6
, pp. 603-614
-
-
Choi, H.1
Kim, J.-S.2
Yoon, C.-W.3
Park, I.-C.4
Hwang, S.H.5
Kyung, C.-M.6
-
46
-
-
27444443319
-
Automated custom instruction generation for domain-specific processor acceleration
-
DOI 10.1109/TC.2005.156
-
CLARK, N. T. AND ZHONG, H. 2005. Automated custom instruction generation for domain-specific processor acceleration. IEEE Trans. Comput. 54, 10, 1258-1270. (Pubitemid 41529421)
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.10
, pp. 1258-1270
-
-
Clark, N.T.1
Zhong, H.2
Mahlke, S.A.3
-
49
-
-
21644435314
-
Application-specific processing on a general-purpose core via transparent instruction set customization
-
Proceedings of the 37th Annual International Symposium on Microarchitecture, MICRO-37 2004
-
CLARK, N., KUDLUR, M., PARK, H.,MAHLKE, S., AND FLAUTNER, K. 2004. Application-specific processing on a general-purpose core via transparent instruction set customization. In Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'37). 30-40. (Pubitemid 40927684)
-
(2004)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 30-40
-
-
Clark, N.1
Kudlur, M.2
Park, H.3
Mahlke, S.4
Flautner, K.5
-
50
-
-
27544482359
-
An architecture framework for transparent instruction set customization in embedded processors
-
Proceedings - 32nd International Symposium on Computer Architecture, ISCA 2005
-
CLARK, N., BLOME, J., CHU, M.,MAHLKE, S., BILES, S., AND FLAUTNER, K. 2005. An architecture framework for transparent instruction set customization in embedded processors. SIGARCH Comput. Archit. News 33, 2, 272-283. (Pubitemid 41543447)
-
(2005)
Proceedings - International Symposium on Computer Architecture
, pp. 272-283
-
-
Clark, N.1
Blome, J.2
Chu, M.3
Mahlke, S.4
Biles, S.5
Flautner, K.6
-
51
-
-
34547185000
-
Scalable subgraph mapping for acyclic computation accelerators
-
DOI 10.1145/1176760.1176779, CASES 2006: International Conference on Compilers, Architecture and Synthesis for Embedded Systems
-
CLARK, N., HORMATI, A., MAHLKE, S., AND YEHIA, S. 2006. Scalable subgraph mapping for acyclic computation accelerators. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06). 147-157. (Pubitemid 47113103)
-
(2006)
CASES 2006: International Conference on Compilers, Architecture and Synthesis for Embedded Systems
, pp. 147-157
-
-
Clark, N.1
Hormati, A.2
Mahlke, S.3
Yehia, S.4
-
52
-
-
0000227930
-
Reconfigurable computing: A survey of systems and software
-
COMPTON, K. AND HAUCK, S. 2002. Reconfigurable computing: A survey of systems and software. ACM Comput. Surv. 34, 2, 171-210.
-
(2002)
ACM Comput. Surv.
, vol.34
, Issue.2
, pp. 171-210
-
-
Compton, K.1
Hauck, S.2
-
53
-
-
2442428419
-
Application-specific instruction generation for configurable processor architectures
-
CONG, J., FAN, Y., HAN, G., AND ZHANG, Z. 2004. Application-specific instruction generation for configurable processor architectures. In Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays (FPGA'04). 183-189.
-
(2004)
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays (FPGA'04)
, pp. 183-189
-
-
Cong, J.1
Fan, Y.2
Han, G.3
Zhang, Z.4
-
56
-
-
0031101696
-
Hardware/software co-design
-
DE MICHELI, G. AND GUPTA, R. K. 1997. Hardware/software co-design. Proc. IEEE 85, 3, 349-365. (Pubitemid 127745567)
-
(1997)
Proceedings of the IEEE
, vol.85
, Issue.3
, pp. 349-365
-
-
De Micheli, G.1
-
57
-
-
84955557263
-
RaPiD - Reconfigurable Pipelined Datapath
-
Field-Programmable Logic: Smart Applications, New Paradigms and Compilers
-
EBELING, C., CRONQUIST, D., AND FRANKLIN, P. 1996. Rapid - reconfigurable pipelined datapath. In Proceedings of the 6th International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers (FPL'96). Springer, 126-135. (Pubitemid 126136669)
-
(1996)
Lecture Notes in Computer Science
, Issue.1142
, pp. 126-135
-
-
Ebeling, C.1
Cronquist, D.C.2
Franklin, P.3
-
58
-
-
0033703885
-
Lx: A technology platform for customizable vliw embedded processing
-
ARABOSCHI, P., BROWN, G., FISHER, J. A., DESOLI, G., AND HOMEWOOD, F. 2000. Lx: a technology platform for customizable vliw embedded processing. ACM SIGARCH Comput. Archit. News 28, 2, 203-213.
-
(2000)
ACM SIGARCH Comput. Archit. News
, vol.28
, Issue.2
, pp. 203-213
-
-
Araboschi, P.1
Brown, G.2
Fisher, J.A.3
Desoli, G.4
Homewood, F.5
-
59
-
-
84867502069
-
Processoririconfigurabili: Unalternativa flessibile per i sistemidedicati
-
FORNACIARI, W., POZZI, L., AND SAMI, M. 1999. Processoririconfigurabili: unalternativa flessibile per I sistemidedicati. Alta Frequenza - Rivista di Elettronica, 22-28.
-
(1999)
Alta Frequenza - Rivista di Elettronica
, pp. 22-28
-
-
Fornaciari, W.1
Pozzi, L.2
Sami, M.3
-
60
-
-
0008690083
-
-
Tech. rep TR. 96-20, Department of Computing Science, University of Alberta, Canada
-
FORTIN, S. 1996. The graph isomorphism problem. Tech. rep. TR 96-20, Department of Computing Science, University of Alberta, Canada.
-
(1996)
The Graph Isomorphism Problem
-
-
Fortin, S.1
-
61
-
-
34548101126
-
A linear complexity algorithm for the automatic generation of convex multiple input multiple output instructions
-
Reconfigurable Computing: Architectures, Tools and Applications - Third International Workshop, ARC 2007 Proceedings
-
GALUZZI, C., BERTELS, K., AND VASSILIADIS, S. 2007a. A linear complexity algorithm for the automatic generation of convex multiple input multiple output instructions. In Proceedings of the 3rd International Workshop Reconfigurable Computing: Architectures, Tools and Applications (ARC'07), P. C. Diniz, E. Marques, K. Bertels, M. M. Fernandes, and J.M. P. Cardoso Eds., Lecture Notes in Computer Science, vol. 4419. Springer, 130-141. (Pubitemid 47289789)
-
(2007)
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)
, vol.LNCS4419
, pp. 130-141
-
-
Galuzzi, C.1
Bertels, K.2
Vassiliadis, S.3
-
62
-
-
38149091446
-
A linear complexity algorithm for the generation of multiple input single output instructions of variable size
-
S. Vassiliadis, M. Berekovic, and T. D. Hämäl äinen, Eds. Lecture Notes in Computer Science, vol. 4599. Springer
-
GALUZZI, C., BERTELS, K., AND VASSILIADIS, S. 2007b. A linear complexity algorithm for the generation of multiple input single output instructions of variable size. In Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop (SAMOS'07), S. Vassiliadis, M. Berekovic, and T. D. Hämäl äinen, Eds. Lecture Notes in Computer Science, vol. 4599. Springer, 283-293.
-
(2007)
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop (SAMOS'07)
, pp. 283-293
-
-
Galuzzi, C.1
Bertels, K.2
Vassiliadis, S.3
-
63
-
-
34547236616
-
Automatic selection of application-specific instruction-set extensions
-
DOI 10.1145/1176254.1176293, CODES+ISSS 2006: 4th International Conference on Hardware Software Codesign and System Synthesis
-
GALUZZI, C., MOSCU PANAINTE, E., YANKOVA, Y., BERTELS, K., AND VASSILIADIS, S. 2006. Automatic selection of application-specific instruction-set extensions. In Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'06). 160-165. (Pubitemid 47113030)
-
(2006)
CODES+ISSS 2006: Proceedings of the 4th International Conference on Hardware Software Codesign and System Synthesis
, pp. 160-165
-
-
Galuzzi, C.1
Panainte, E.M.2
Yankova, Y.3
Bertels, K.4
Vassiliadis, S.5
-
66
-
-
0025807368
-
Building and using a highly parallel programmable logic array
-
GOKHALE, M., HOLMES, W., KOPSER, A., LUCAS, S.,MINNICH, R., SWEELY, D., AND LOPRESTI, D. 1991. Building and using a highly parallel programmable logic array. Comput. 24, 1, 81-89.
-
(1991)
Comput.
, vol.24
, Issue.1
, pp. 81-89
-
-
Gokhale, M.1
Holmes, W.2
Kopser, A.3
Lucas, S.4
Minnich, R.5
Sweely, D.6
Lopresti, D.7
-
67
-
-
0032674517
-
Piperench: A co-processor for streaming multimedia acceleration
-
GOLDSTEIN, S. C., SCHMIT, H., MOE, M., BUDIU, M., CADAMBI, S., TAYLOR, R. R., AND LAUFER, R. 1999. Piperench: A co-processor for streaming multimedia acceleration. SIGARCH Comput. Archit. News 27, 2, 28-39.
-
(1999)
SIGARCH Comput. Archit. News
, vol.27
, Issue.2
, pp. 28-39
-
-
Goldstein, S.C.1
Schmit, H.2
Moe, M.3
Budiu, M.4
Cadambi, S.5
Taylor, R.R.6
Laufer, R.7
-
68
-
-
0001442299
-
A method for minimizing the number of internal states in incompletely specified sequential networks
-
GRASSELLI, A. AND LUCCIO, F. 1965. A method for minimizing the number of internal states in incompletely specified sequential networks. IEEE Trans. Electron. Comp. EC-14, 350-359.
-
(1965)
IEEE Trans. Electron. Comp.
, vol.EC-14
, pp. 350-359
-
-
Grasselli, A.1
Luccio, F.2
-
70
-
-
1442337754
-
A graph covering algorithm for a coarse grain reconfigurable system
-
GUO, Y., SMIT, G. J., BROERSMA, H., AND HEYSTERS, P. M. 2003. A graph covering algorithm for a coarse grain reconfigurable system. In Proceedings of the ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems (LCTES'03). 199-208.
-
(2003)
Proceedings of the ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems (LCTES'03)
, pp. 199-208
-
-
Guo, Y.1
Smit, G.J.2
Broersma, H.3
Heysters, P.M.4
-
71
-
-
58349088041
-
An algorithm for finding connected convex subgraphs of an acyclic digraph
-
GUTIN, G., JOHNSTONE, A., REDDINGTON, J., SCOTT, E., SOLEIMANFALLAH, A., AND YEO, A. 2007. An algorithm for finding connected convex subgraphs of an acyclic digraph. In Proceedings of the ACiD 2007.
-
(2007)
Proceedings of the ACiD 2007
-
-
Gutin, G.1
Johnstone, A.2
Reddington, J.3
Scott, E.4
Soleimanfallah, A.5
Yeo, A.6
-
74
-
-
0031376640
-
The chimaera reconfigurable functional unit
-
HAUCK, S., FRY, T. W.,HOSLER, M. M., AND KAO, J. P. 1997. The chimaera reconfigurable functional unit. In Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines (FCCM'97).
-
(1997)
Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines (FCCM'97)
-
-
Hauck, S.1
Fry, T.W.2
Hosler, M.M.3
Kao, J.P.4
-
75
-
-
1642364107
-
The chimaera reconfigurable functional unit
-
HAUCK, S., FRY, T. W.,HOSLER, M. M., AND KAO, J. P. 2004. The chimaera reconfigurable functional unit. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 12, 2, 206-217.
-
(2004)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.12
, Issue.2
, pp. 206-217
-
-
Hauck, S.1
Fry, T.W.2
Hosler, M.M.3
Kao, J.P.4
-
77
-
-
0033488501
-
Sonic - A plug-in architecture for video processing
-
HAYNES, S. D., CHEUNG, P. Y. K., LUK, W., AND STONE, J. 1999. Sonic - A plug-in architecture for video processing. In Proceedings of the 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'99).
-
(1999)
Proceedings of the 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'99)
-
-
Haynes, S.D.1
Cheung, P.Y.K.2
Luk, W.3
Stone, J.4
-
78
-
-
0034174010
-
Video image processing with the Sonic architecture
-
DOI 10.1109/2.839321
-
HAYNES, S. D., STONE, J., CHEUNG, P. Y. K., AND LUK, W. 2000. Video image processing with the sonic architecture. Comput. 33, 4, 50-57. (Pubitemid 30585679)
-
(2000)
Computer
, vol.33
, Issue.4
, pp. 50-57
-
-
Haynes, S.D.1
Stone, J.2
Cheung, P.Y.K.3
Luk, W.4
-
82
-
-
0037682301
-
Managing dynamic reconfiguration overhead in system-on-a-chip design using reconfigurable datapaths and optimized interconnection networks
-
HUANG, Z. AND MALIK, S. 2001. Managing dynamic reconfiguration overhead in system-on-a-chip design using reconfigurable datapaths and optimized interconnection networks. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'01). 735-740.
-
(2001)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'01)
, pp. 735-740
-
-
Huang, Z.1
Malik, S.2
-
83
-
-
85008021361
-
The design of dynamically reconfigurable datapath coprocessors
-
HUANG, Z., MALIK, S., MOREANO, N., AND ARAUJO, G. 2004. The design of dynamically reconfigurable datapath coprocessors. Trans. Embed. Comput. Syst. 3, 2, 361-384.
-
(2004)
Trans. Embed. Comput. Syst.
, vol.3
, Issue.2
, pp. 361-384
-
-
Huang, Z.1
Malik, S.2
Moreano, N.3
Araujo, G.4
-
84
-
-
38849103565
-
An efficient framework for dynamic reconfiguration of instruction-set customization
-
DOI 10.1145/1289881.1289906, CASES'07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
HUYNH, H. P., SIM, J. E., AND MITRA, T. 2007. An efficient framework for dynamic reconfiguration of instruction-set customization. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'07). 135-144. (Pubitemid 351203996)
-
(2007)
CASES'07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 135-144
-
-
Huynh, H.P.1
Sim, J.E.2
Mitra, T.3
-
88
-
-
0029368713
-
Spyder: A sure (superscalar and reconfigurable) processor
-
ISELI, C. AND SANCHEZ, E. 1995. Spyder: A sure (superscalar and reconfigurable) processor. J. Supercomput. 9, 3, 231-252.
-
(1995)
J. Supercomput.
, vol.9
, Issue.3
, pp. 231-252
-
-
Iseli, C.1
Sanchez, E.2
-
90
-
-
34547233815
-
Exploiting forwarding to improve data bandwidth of instruction-set extensions
-
DOI 10.1145/1146909.1146924, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
JAYASEELAN, R., LIU, H., AND MITRA, T. 2006. Exploiting forwarding to improve data bandwidth of instruction-set extensions. In Proceedings of the 43rd Annual Conference on Design Automation (DAC'06). 43-48. (Pubitemid 47113864)
-
(2006)
Proceedings - Design Automation Conference
, pp. 43-48
-
-
Jayaseelan, R.1
Liu, H.2
Mitra, T.3
-
91
-
-
0035211904
-
Instruction generation for hybrid reconfigurable systems
-
KASTNER, R., OGRENCI-MEMIK, S., BOZORGZADEH, E., AND SARRAFZADEH, M. 2001. Instruction generation for hybrid reconfigurable systems. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'01). 127-130. (Pubitemid 33148948)
-
(2001)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers
, pp. 127-130
-
-
Kastner, R.1
Ogrenci-Memik, S.2
Bozorgzadeh, E.3
Sarrafzadeh, M.4
-
92
-
-
0036826798
-
Instruction generation for hybrid reconfigurable systems
-
DOI 10.1145/605440.605446
-
KASTNER, R., KAPLAN, A.,MEMIK, S. O., AND BOZORGZADEH, E. 2002. Instruction generation for hybrid reconfigurable systems. ACM Trans. Des. Automa. Electron. Syst. (TODAES) 7, 4, 605-627. (Pubitemid 36282451)
-
(2002)
ACM Transactions on Design Automation of Electronic Systems
, vol.7
, Issue.4
, pp. 605-627
-
-
Kastner, R.1
Kaplan, A.2
Ogrenci Memik, S.3
Bozorgzadeh, E.4
-
94
-
-
34047105661
-
A flexible instruction generation framework for extending embedded processors
-
1653052, 2006 IEEE Mediterranean Electrotechnical Conference, MELECON 2006 - Circuits and Systems for Signal Processing, lnformation and Communication Technologies, and Power Sources and Systems
-
KAVVADIAS, N. AND NIKOLAIDIS, S. May 16-19, 2006. A flexible instruction generation framework for extending embedded processors. In Proceedings of the 13th IEEE Mediterranean Electrotechnical Conference (MELECON'06). 125-128. (Pubitemid 46522138)
-
(2006)
Proceedings of the Mediterranean Electrotechnical Conference - MELECON
, vol.2006
, pp. 125-128
-
-
Kavvadias, N.1
Nikolaidis, S.2
-
96
-
-
56549096013
-
Rapid design of area-efficient custom instructions for reconfigurable embedded processing
-
LAM, S.-K. AND SRIKANTHAN, T. 2009. Rapid design of area-efficient custom instructions for reconfigurable embedded processing. J. Syst. Archit. 55, 1, 1-14.
-
(2009)
J. Syst. Archit.
, vol.55
, Issue.1
, pp. 1-14
-
-
Lam, S.-K.1
Srikanthan, T.2
-
97
-
-
33745973319
-
Rapid generation of custom instructions using predefined dataflow structures
-
DOI 10.1016/j.micpro.2006.02.012, PII S0141933106000366
-
LAM, S. K., SRIKANTHAM, T., AND CLARKE, C. T. 2006. Rapid generation of custom instructions using predefined dataflow structures. Microprocess. Microsyst. 30, 6, (Special Issue on FPGA's), 355-366. (Pubitemid 44067254)
-
(2006)
Microprocessors and Microsystems
, vol.30
, Issue.6
, pp. 355-366
-
-
Lam, S.-K.1
Srikanthan, T.2
Clarke, C.T.3
-
101
-
-
0242696249
-
An algorithm for mapping loops onto coarse-grained reconfigurable architectures
-
LEE, J.-E., CHOI, K., AND DUTT, N. D. 2003b. An algorithm for mapping loops onto coarse-grained reconfigurable architectures. In Proceedings of the ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems (LCTES'03). 183-188.
-
(2003)
Proceedings of the ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems (LCTES'03)
, pp. 183-188
-
-
Lee, J.-E.1
Choi, K.2
Dutt, N.D.3
-
102
-
-
33846950858
-
Instruction set synthesis with efficient instruction encoding for configurable processors
-
LEE, J.-E., CHOI, K., AND DUTT, N. D. 2007. Instruction set synthesis with efficient instruction encoding for configurable processors. ACM Trans. Des. Autom. Electron. Syst. 12, 1, 8.
-
(2007)
ACM Trans. Des. Autom. Electron. Syst.
, vol.12
, Issue.1
, pp. 8
-
-
Lee, J.-E.1
Choi, K.2
Dutt, N.D.3
-
103
-
-
34047130293
-
A design flow for configurable embedded processors based on optimized instruction set extension synthesis
-
European Design and Automation Association
-
LEUPERS, R., KARURI, K., KRAEMER, S., AND PANDEY, M. 2006. A design flow for configurable embedded processors based on optimized instruction set extension synthesis. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06). European Design and Automation Association, 581-586.
-
(2006)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06)
, pp. 581-586
-
-
Leupers, R.1
Karuri, K.2
Kraemer, S.3
Pandey, M.4
-
104
-
-
27944432110
-
Effective bounding techniques for solving unate and binate covering problems
-
24.3, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
-
LI, X. Y., STALLMANN, M. F., AND BRGLEZ, F. 2005. Effective bounding techniques for solving unate and binate covering problems. In Proceedings of the 42nd Annual Conference on Design Automation (DAC'05). 385-390. (Pubitemid 41675467)
-
(2005)
Proceedings - Design Automation Conference
, pp. 385-390
-
-
Li, X.Y.1
Stallmann, M.F.2
Brglez, F.3
-
106
-
-
0029488328
-
Instruction selection using binate covering for code size optimization
-
LIAO, S., DEVADAS, S., KEUTZER, K., AND TJIANG, S. 1995. Instruction selection using binate covering for code size optimization. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'95). 393-399.
-
(1995)
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'95)
, pp. 393-399
-
-
Liao, S.1
Devadas, S.2
Keutzer, K.3
Tjiang, S.4
-
107
-
-
33645604364
-
A new viewpoint on code generation for directed acyclic graphs
-
LIAO, S.,KEUTZER, K., TJIANG, S., AND DEVADAS, S. 1998. A new viewpoint on code generation for directed acyclic graphs. ACM Trans. Design Automat. Electron. Syst. (TODAES) 3, 1, 51-75. (Pubitemid 128735580)
-
(1998)
ACM Transactions on Design Automation of Electronic Systems
, vol.3
, Issue.1
, pp. 51-75
-
-
Liao, S.1
Keutzer, K.2
Tjiang, S.3
Devadas, S.4
-
109
-
-
0015604699
-
An effective heuristic algorithm for the traveling-salesman problem
-
LIN, S. AND KERNIGHAN, B. 1973. An effective heuristic algorithm for the traveling-salesman problem. Oper. Res. 21, 2, 498-516.
-
(1973)
Oper. Res.
, vol.21
, Issue.2
, pp. 498-516
-
-
Lin, S.1
Kernighan, B.2
-
110
-
-
84878663553
-
The morphosys parallel reconfigurable system
-
Springer
-
LU, G., SINGH, H., LEE, M.-H., BAGHERZADEH, N., KURDAHI, F. J., AND FILHO, E. M. C. 1999. The morphosys parallel reconfigurable system. In Proceedings of the 5th International Euro-Par Conference on Parallel Processing (Euro-Par'99). Springer, 727-734.
-
(1999)
Proceedings of the 5th International Euro-Par Conference on Parallel Processing (Euro-Par'99)
, pp. 727-734
-
-
G, L.U.1
Singh, H.2
Lee, M.-H.3
Bagherzadeh, N.4
Kurdahi, F.J.5
Filho, E.M.C.6
-
111
-
-
35248884474
-
Adres: An architecture with tightly coupled vliw processor and coarse-grained reconfigurable matrix
-
Springer
-
MEI, B., VERNALDE1, S., VERKEST, D., MAN, H. D., AND LAUWEREINS, R. 2003. Adres: An architecture with tightly coupled vliw processor and coarse-grained reconfigurable matrix. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL'03). Springer, 61-70.
-
(2003)
Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL'03)
, pp. 61-70
-
-
Mei, B.1
Vernalde, S.2
Verkest, D.3
Man, H.D.4
Lauwereins, R.5
-
114
-
-
0036957219
-
Datapath merging and interconnection sharing for reconfigurable architectures
-
MOREANO, N., ARAUJO, G.,HUANG, Z., AND MALIK, S. 2002. Datapath merging and interconnection sharing for reconfigurable architectures. In Proceedings of the 15th International Symposium on System Synthesis (ISSS'02). 38-43.
-
(2002)
Proceedings of the 15th International Symposium on System Synthesis (ISSS'02)
, pp. 38-43
-
-
Moreano, N.1
Araujo, G.2
Huang, Z.3
Malik, S.4
-
116
-
-
0031096921
-
An Algorithm for Hardware/Software Partitioning Using Mixed Integer Linear Programming
-
NIEMANN, R. AND MARWEDEL, P. 1997. An algorithm for hardware/software partitioning using mixed integer linear programming. Des. Automat. Embedd. Syst. 2, 2, Special Issue: Partitioning Methods for Embedded Systems, 165-193. (Pubitemid 127506131)
-
(1997)
Design Automation for Embedded Systems
, vol.2
, Issue.2
, pp. 165-193
-
-
Niemann, R.1
Marwedel, P.2
-
117
-
-
84942512878
-
Automatic instruction set extension and utilization for embedded processors
-
PEYMANDOUST, A., POZZIL, L., IENNE, P., AND MICHELI, G. D. 2003. Automatic instruction set extension and utilization for embedded processors. In Proceedings of the 14th International Conference on Application-Specific Systems, Architectures and Processors (ASAP'03). 108-118.
-
(2003)
Proceedings of the 14th International Conference on Application-Specific Systems, Architectures and Processors (ASAP'03)
, pp. 108-118
-
-
Peymandoust, A.1
Pozzil, L.2
Ienne, P.3
Micheli, G.D.4
-
120
-
-
29144448047
-
Exploiting pipelining to relax register-file port constraints of instruction-set extensions
-
CASES 2005: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
POZZI, L. AND IENNE, P. 2005. Exploiting pipelining to relax register-file port constraints of instruction-set extensions. In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES'05). 2-10. (Pubitemid 41802797)
-
(2005)
CASES 2005: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 2-10
-
-
Pozzi, L.1
Ienne, P.2
-
121
-
-
4444319771
-
-
Tech. rep. CS 01/377, EPFL, DI-LAP, Lausanne
-
POZZI, L., VULETIC, M., AND IENNE, P. 2001. Automatic topology-based identification of instruction-set extensions for embedded processors. Tech. rep. CS 01/377, EPFL, DI-LAP, Lausanne.
-
(2001)
Automatic Topology-based Identification of Instruction-set Extensions for Embedded Processors
-
-
Pozzi, L.1
Vuletic, M.2
Ienne, P.3
-
122
-
-
84893739640
-
Automatic topology-based identification of instruction-set extensions for embedded processors
-
POZZI, L., VULETIC, M., AND IENNE, P. 2002. Automatic topology-based identification of instruction-set extensions for embedded processors. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'02).
-
(2002)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'02)
-
-
Pozzi, L.1
Vuletic, M.2
Ienne, P.3
-
123
-
-
33744744405
-
Exact and approximate algorithms for the extension of embedded processor instruction sets
-
DOI 10.1109/TCAD.2005.855950
-
POZZI, L., ATASU, K., AND IENNE, P. 2006a. Exact and approximate algorithms for the extension of embedded processor instruction sets. IEEE Trans. Comput.-Aid. Desi. Integra. Circ. Syst. 25, 7, 1209-1229. (Pubitemid 43824517)
-
(2006)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.7
, pp. 1209-1229
-
-
Pozzi, L.1
Atasu, K.2
Ienne, P.3
-
124
-
-
33744744405
-
Exact and approximate algorithms for the extension of embedded processor instruction sets
-
DOI 10.1109/TCAD.2005.855950
-
POZZI, L., ATASU, K., AND IENNE, P. 2006b. Exact and approximate algorithms for the extension of embedded processor instruction sets. IEEE Trans. Comput.-Aid. Des. Integra. Circ. Syst. 25, 7, 1209-1229. (Pubitemid 43824517)
-
(2006)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.7
, pp. 1209-1229
-
-
Pozzi, L.1
Atasu, K.2
Ienne, P.3
-
126
-
-
79955144199
-
A Survey of Reconfigurable Computing Architectures
-
Field-Programmable Logic and Applications: From PFGAs to Computing Paradigm
-
RADUNOVIC, B. AND MILUTINOVIC, V. M. 1998. A survey of reconfigurable computing architectures. In Proceedings of the 8th International Workshop on Field-Programmable Logic and Applications, From FPGAs to Computing Paradigm (FPL'98). Springer, 376-385. (Pubitemid 128125234)
-
(1998)
Lecture Notes in Computer Science
, Issue.1482
, pp. 376-385
-
-
Radunovic, B.1
Milutinovic, V.2
-
129
-
-
84950155001
-
The napa adaptive processing architecture
-
RUPP, C. R., LANDGUTH, M., GARVERICK, T., GOMERSALL, E., HOLT, H., ARNOLD, J. M., AND GOKHALE, M. 1998. The napa adaptive processing architecture. In Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines (FCCM'98).
-
(1998)
Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines (FCCM'98)
-
-
Rupp, C.R.1
Landguth, M.2
Garverick, T.3
Gomersall, E.4
Holt, H.5
Arnold, J.M.6
Gokhale, M.7
-
131
-
-
38949093175
-
A codegenerator generator for multi-output instructions
-
SCHARWAECHTER, H., YOUN, J. M., LEUPERS, R., PAEK, Y., ASCHEID, G., AND MEYR, H. 2007. A codegenerator generator for multi-output instructions. In Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'07). 131-136.
-
(2007)
Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'07)
, pp. 131-136
-
-
Scharwaechter, H.1
Youn, J.M.2
Leupers, R.3
Paek, Y.4
Ascheid, G.5
Meyr, H.6
-
136
-
-
34547205574
-
Efficient architectures through application clustering and architectural heterogeneity
-
DOI 10.1145/1176760.1176784, CASES 2006: International Conference on Compilers, Architecture and Synthesis for Embedded Systems
-
STROZEK, L. AND BROOKS, D. 2006. Efficient architectures through application clustering and architectural heterogeneity. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06). 190-200. (Pubitemid 47113107)
-
(2006)
CASES 2006: International Conference on Compilers, Architecture and Synthesis for Embedded Systems
, pp. 190-200
-
-
Strozek, L.1
Brooks, D.2
-
137
-
-
0036907239
-
Synthesis of custom processors based on extensible platforms
-
SUN, F., RAVI, S., RAGHUNATHAN, A., AND JHA, N. K. 2002. Synthesis of custom processors based on extensible platforms. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'02). 641-648.
-
(2002)
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'02)
, pp. 641-648
-
-
Sun, F.1
Ravi, S.2
Raghunathan, A.3
Jha, N.K.4
-
138
-
-
0348040125
-
A scalable application-specific processor synthesis methodology
-
SUN, F., RAVI, S., RAGHUNATHAN, A., AND JHA, N. K. 2003. A scalable application-specific processor synthesis methodology. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'03).
-
(2003)
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'03)
-
-
Sun, F.1
Ravi, S.2
Raghunathan, A.3
Jha, N.K.4
-
139
-
-
1242286078
-
Custom-instruction synthesis for extensible processor platform
-
SUN, F., RAVI, S., RAGHUNATHAN, A., AND JHA, N. K. 2004. Custom-instruction synthesis for extensible processor platform. IEEE Trans. Comput.-Aid. Des. Integra. Circ. 23, 2, 216-228.
-
(2004)
IEEE Trans. Comput.-Aid. Des. Integra. Circ.
, vol.23
, Issue.2
, pp. 216-228
-
-
Sun, F.1
Ravi, S.2
Raghunathan, A.3
Jha, N.K.4
-
140
-
-
19344378044
-
Reconfigurable computing: Architectures and design methods
-
DOI 10.1049/ip-cdt:20045086, Embedded Microelectronic Systems: Status and Trends (Part 1)
-
TODMAN, T., CONSTANTINIDES, G.,WILTON, S., MENCER, O., LUK, W., AND CHEUNG, P. 2005. Reconfigurable computing: Architectures and design methods. IEE Proc. - Comput. Digital Tech. 152, 2, 193-207. (Pubitemid 40718625)
-
(2005)
IEE Proceedings: Computers and Digital Techniques
, vol.152
, Issue.2
, pp. 193-207
-
-
Todman, T.J.1
Constantinides, G.A.2
Wilton, S.J.E.3
Mencer, O.4
Luk, W.5
Cheung, P.Y.K.6
-
141
-
-
0028126235
-
Instruction set definition and instruction selection for asips
-
VAN PRAET, J., GOOSSENS, G., LANNEER, D., AND DE MAN, H. 1994. Instruction set definition and instruction selection for asips. In Proceedings of the 7th International Symposium on High-level Synthesis (ISSS'94). 11-16.
-
(1994)
Proceedings of the 7th International Symposium on High-level Synthesis (ISSS'94)
, pp. 11-16
-
-
Van Praet, J.1
Goossens, G.2
Lanneer, D.3
De Man, H.4
-
143
-
-
84949189232
-
The molen μ-coded processor
-
Springer-Verlag, London, UK
-
VASSILIADIS, S., WONG, S., AND COTOFANA, S. 2001. The molen μ-coded processor. In Proceedings of the 11th International Conference on Field-Programmable Logic and Applications (FPL'01). Springer- Verlag, London, UK, 275-285.
-
(2001)
Proceedings of the 11th International Conference on Field-Programmable Logic and Applications (FPL'01)
, pp. 275-285
-
-
Vassiliadis, S.1
Wong, S.2
Cotofana, S.3
-
144
-
-
8744241430
-
The molen polymorphic processor
-
VASSILIADIS, S., WONG, S., GAYDADJIEV, G., BERTELS, K., KUZMANOV, G., AND MOSCU PANAINTE, E. 2004. The molen polymorphic processor. IEEE Trans. Comput. 53, 11, 1363-1375.
-
(2004)
IEEE Trans. Comput.
, vol.53
, Issue.11
, pp. 1363-1375
-
-
Vassiliadis, S.1
Wong, S.2
Gaydadjiev, G.3
Bertels, K.4
Kuzmanov, G.5
Moscu Panainte, E.6
-
145
-
-
33744960368
-
A RISC architecture extended by an efficient tightly coupled reconfigurable unit
-
DOI 10.1080/00207210600565127, PII X5544056465136
-
VASSILIADIS, N., KAVVADIAS, N., THEODORIDIS, G., AND NIKOLAIDIS, S. 2006. A risc architecture extended by an efficient tightly coupled reconfigurable unit. Inte. J. Electron. 93, 6, 421-438. (Pubitemid 43852904)
-
(2006)
International Journal of Electronics
, vol.93
, Issue.6
, pp. 421-438
-
-
Vassiliadis, N.1
Kavvadias, N.2
Theodoridis, G.3
Nikolaidis, S.4
-
146
-
-
33749037133
-
Enhancing a reconfigurable instruction set processor with partial predication and virtual opcode support
-
Reconfigurable Computing: Architectures and Applications - Second International Workshop, ARC 2006, Revised Selected Papers
-
VASSILIADIS, N., THEODORIDIS, G., AND NIKOLAIDIS, S. 2007. Enhancing a reconfigurable instruction set processor with partial predication and virtual opcode support. In Proceedings of the 2nd International Workshop on Applied Reconfigurable Computing (ARC'06). Lecture Notes in Computer Science, vol. 3985. Springer, 217-229. (Pubitemid 44448057)
-
(2006)
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)
, vol.LNCS3985
, pp. 217-229
-
-
Vassiliadis, N.1
Theodoridis, G.2
Nikolaidis, S.3
-
147
-
-
84867530265
-
Automatic applicationspecific instruction-set extensions under microarchitectural constraints
-
Nov.
-
VERMA, A. K., ATASU, K., VULETIC, M., POZZI, L., AND IENNE, P. Nov. 2002. Automatic applicationspecific instruction-set extensions under microarchitectural constraints. In Proceedings of the 1st Workshop on Application Specific Processors (WASP-1).
-
(2002)
Proceedings of the 1st Workshop on Application Specific Processors (WASP-1)
-
-
Verma, A.K.1
Atasu, K.2
Vuletic, M.3
Pozzi, L.4
Ienne, P.5
-
148
-
-
38849136453
-
Rethinking custom ISE identification: A new processor-agnostic method
-
DOI 10.1145/1289881.1289905, CASES'07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
VERMA, A. K., BRISK, P., AND IENNE, P. 2007. Rethinking custom ise identification: A new processoragnostic method. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'07). 125-134. (Pubitemid 351203995)
-
(2007)
CASES'07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 125-134
-
-
Verma, A.K.1
Brisk, P.2
Ienne, P.3
-
149
-
-
0034846651
-
Hardware/software instruction set configurability for system-on-chip processors
-
WANG, A., KILLIAN, E., MAYDAN, D., AND ROWEN, C. 2001. Hardware/software instruction set configurability for system-on-chip processors. In Proceedings of the 38th Conference on Design Automation (DAC'01). 184-188. (Pubitemid 32840948)
-
(2001)
Proceedings - Design Automation Conference
, pp. 184-188
-
-
Wang, A.1
Killian, E.2
Maydan, D.3
Rowen, C.4
-
150
-
-
84957917534
-
Prism-ii compiler and architecture
-
WAZLOWSKI, M., AGARWAL, L., LEE, T., SMITH, A., LAM, E., ATHANAS, P., SILVERMAN, H., AND GHOSH, S. 1993. Prism-ii compiler and architecture. In Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines. 9-16.
-
(1993)
Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines
, pp. 9-16
-
-
Wazlowski, M.1
Agarwal, L.2
Lee, T.3
Smith, A.4
Lam, E.5
Athanas, P.6
Silverman, H.7
Ghosh, S.8
-
153
-
-
35048883983
-
-
M.S. thesis, Department of Electrical and Computer Engineering, University of Toronto
-
WITTIG, R. D. 1995. Onechip: An fpga processor with reconfigurable logic. M.S. thesis, Department of Electrical and Computer Engineering, University of Toronto.
-
(1995)
Onechip: An Fpga Processor with Reconfigurable Logic
-
-
Wittig, R.D.1
-
157
-
-
0033703884
-
CHIMAERA: A high-performance architecture with a tightly-coupled reconfigurable functional unit
-
YE, Z. A., MOSHOVOS, A., HAUCK, S., AND BANERJEE, P. 2000. CHIMAERA: A high-performance architecture with a tightly-coupled reconfigurable functional unit. In ACM SIGARCH Comput. Archit. News (Special Issue: Proceedings of the 27th annual international symposium on Computer architecture ISCA), 225-235.
-
(2000)
ACM SIGARCH Comput. Archit. News (Special Issue: Proceedings of the 27th Annual International Symposium on Computer Architecture ISCA)
, pp. 225-235
-
-
A, Y.E.Z.1
Moshovos, A.2
Hauck, S.3
Banerjee, P.4
-
158
-
-
24944546345
-
Scalable custom instructions identification for instruction-set extensible processors
-
CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
YU, P. AND MITRA, T. 2004. Scalable custom instructions identification for instruction-set extensible processors. In Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'04). 69-78. (Pubitemid 41802768)
-
(2004)
CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 69-78
-
-
Yu, P.1
Mitra, T.2
-
161
-
-
78049345748
-
Fast custom instruction identification algorithm based on basic convex pattern model for supporting asip automated design
-
ZHAO, K., BIAN, J., DONG, S., SONG, Y., AND GOTO, S. 2008. Fast custom instruction identification algorithm based on basic convex pattern model for supporting asip automated design. IEICE Trans. Fundam. Electron. Comm. Comput. Sci. E91-A, 6, 1478-1487.
-
(2008)
IEICE Trans. Fundam. Electron. Comm. Comput. Sci.
, vol.E91-A
, Issue.6
, pp. 1478-1487
-
-
Zhao, K.1
Bian, J.2
Dong, S.3
Song, Y.4
Goto, S.5
|