메뉴 건너뛰기




Volumn 4, Issue 2, 2011, Pages

The instruction-set extension problem: A survey

Author keywords

Customization; HW SW codesign; Instruction generation; Instruction selection; Instruction set; Instruction set extension; Reconfigurable architecture

Indexed keywords

CUSTOMIZATION; HW/SW CODESIGN; INSTRUCTION GENERATION; INSTRUCTION SELECTION; INSTRUCTION-SET; INSTRUCTION-SET EXTENSIONS;

EID: 84867368085     PISSN: 19367406     EISSN: 19367414     Source Type: Journal    
DOI: 10.1145/1968502.1968509     Document Type: Review
Times cited : (75)

References (161)
  • 2
    • 84869345560 scopus 로고    scopus 로고
    • Removing communications in clustered microarchitectures through instruction replication
    • ALETÀ, A., CODINA, J. M., GONZÁLEZ, A., AND KAELI, D. 2004. Removing communications in clustered microarchitectures through instruction replication. ACM Trans. Archit. Code Optimiz. 1, 2, 127-151.
    • (2004) ACM Trans. Archit. Code Optimiz. , vol.1 , Issue.2 , pp. 127-151
    • Aletà, A.1    Codina, J.M.2    González, A.3    Kaeli, D.4
  • 13
    • 3543091438 scopus 로고    scopus 로고
    • Automatic application-specific instruction-set extensions under microarchitectural constraints
    • Special issue: Workshop on application specific processors (WASP)
    • ATASU, K., POZZI, L., AND IENNE, P. 2003b. Automatic application-specific instruction-set extensions under microarchitectural constraints. Int. J. Parall. Programm. 31, 6, Special issue: Workshop on application specific processors (WASP), 411-428.
    • (2003) Int. J. Parall. Programm. , vol.31 , Issue.6 , pp. 411-428
    • Atasu, K.1    Pozzi, L.2    Ienne, P.3
  • 14
    • 27644497563 scopus 로고    scopus 로고
    • An integer linear programming approach for identifying instruction-set extensions
    • CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and Systems Synthesis
    • ATASU, K., DÜNDAR, G., ANDÖZTURAN, C. 2005. An integer linear programming approach for identifying instruction-set extensions. In Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05). 172-177. (Pubitemid 41551305)
    • (2005) CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and System Synthesis , pp. 172-177
    • Atasu, K.1    Dundar, G.2    Ozturan, C.3
  • 15
    • 34548316193 scopus 로고    scopus 로고
    • Optimizing instruction-set extensible processors under data bandwidth constraints
    • DOI 10.1109/DATE.2007.364657, 4211862, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
    • ATASU, K., DIMOND, R. G., MENCER, O., LUK, W.,ÖZTURAN, C., AND D ÜNDAR, G. 2007. Optimizing instruction-set extensible processors under data bandwidth constraints. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). 588-593. (Pubitemid 47334019)
    • (2007) Proceedings -Design, Automation and Test in Europe, DATE , pp. 588-593
    • Atasu, K.1    Dimond, R.G.2    Mencer, O.3    Luk, W.4    Ozturan, C.5    Dundar, G.6
  • 17
    • 0027561268 scopus 로고
    • Processor reconfiguration through instruction-set metamorphosis
    • ATHANAS, P. M. AND SILVERMAN, H. F. 1993. Processor reconfiguration through instruction-set metamorphosis. Comput. 26, 3, 11-18.
    • (1993) Comput. , vol.26 , Issue.3 , pp. 11-18
    • Athanas, P.M.1    Silverman, H.F.2
  • 20
    • 0036709503 scopus 로고    scopus 로고
    • Reconfigurable instruction set processors from a hardware/software perspective
    • DOI 10.1109/TSE.2002.1033225
    • BARAT, F., LAUWEREINS, R., AND DECONINCK, G. 2002. Reconfigurable instruction set processors from a hardware/software perspective. IEEE Trans. Softw. Engin. 28, 9, 847-862. (Pubitemid 35242629)
    • (2002) IEEE Transactions on Software Engineering , vol.28 , Issue.9 , pp. 847-862
    • Barat, F.1    Lauwereins, R.2    Deconinck, G.3
  • 25
    • 18844416342 scopus 로고    scopus 로고
    • Reducing code size for heterogeneous-connectivity-based VLIW DSPs through synthesis of instruction set extensions
    • CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
    • BISWAS, P. AND DUTT, N. 2003b. Reducing code size for heterogeneous-connectivity-based vliw dsps through syntheis of instruction set extensions. In Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'03). 104-112. (Pubitemid 40682154)
    • (2003) CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems , pp. 104-112
    • Biswas, P.1    Dutt, N.2
  • 26
    • 27444437658 scopus 로고    scopus 로고
    • Code size reduction in heterogeneous-connectivity-based DSPs using instruction set extensions
    • DOI 10.1109/TC.2005.157
    • BISWAS, P. AND DUTT, N. D. 2005. Code size reduction in heterogeneous-connectivity-based dsps using instruction set extensions. IEEE Trans. Comput. 54, 10, 1216-1226. (Pubitemid 41529418)
    • (2005) IEEE Transactions on Computers , vol.54 , Issue.10 , pp. 1216-1226
    • Biswas, P.1    Dutt, N.D.2
  • 29
    • 33646927796 scopus 로고    scopus 로고
    • ISEGEN: Generation of high-quality instruction set extensions by iterative improvement
    • DOI 10.1109/DATE.2005.191, 1395764, Proceedings - Design, Automation and Test in Europe, DATE '05
    • BISWAS, P., BANERJEE, S., DUTT, N., POZZI, L., AND IENNE, P. 2005. Isegen: Generation of high-quality instruction set extensions by iterative improvement. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'05). 1246-1251. (Pubitemid 44172180)
    • (2005) Proceedings -Design, Automation and Test in Europe, DATE '05 , vol.II , pp. 1246-1251
    • Biswas, P.1    Banerjee, S.2    Dutt, N.3    Pozzi, L.4    Ienne, P.5
  • 30
    • 34047097444 scopus 로고    scopus 로고
    • Automatic identification of application-specific functional units with architecturally visible storage
    • European Design and Automation Association
    • BISWAS, P., DUTT, N., IENNE, P., AND POZZI, L. 2006. Automatic identification of application-specific functional units with architecturally visible storage. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06). European Design and Automation Association, 212-217.
    • (2006) Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06) , pp. 212-217
    • Biswas, P.1    Dutt, N.2    Ienne, P.3    Pozzi, L.4
  • 32
    • 34548295212 scopus 로고    scopus 로고
    • Polynomial-time subgraph enumeration for automated instruction set extension
    • DOI 10.1109/DATE.2007.364482, 4211992, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
    • BONZINI, P. AND POZZI, L. 2007a. Polynomial-Time subgraph enumeration for automated instruction set extension. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). 1331-1336. (Pubitemid 47334146)
    • (2007) Proceedings -Design, Automation and Test in Europe, DATE , pp. 1331-1336
    • Bonzini, P.1    Pozzi, L.2
  • 39
    • 0030105339 scopus 로고    scopus 로고
    • Graph isomorphism and identification matrices: Parallel algorithms
    • CHEN, L. 1996. Graph isomorphism and identification matrices: Parallel algorithms. IEEE Trans. Parall. Distrib. Syst. 7, 3, 308-319. (Pubitemid 126776330)
    • (1996) IEEE Transactions on Parallel and Distributed Systems , vol.7 , Issue.3 , pp. 308-319
    • Chen, L.1
  • 46
    • 27444443319 scopus 로고    scopus 로고
    • Automated custom instruction generation for domain-specific processor acceleration
    • DOI 10.1109/TC.2005.156
    • CLARK, N. T. AND ZHONG, H. 2005. Automated custom instruction generation for domain-specific processor acceleration. IEEE Trans. Comput. 54, 10, 1258-1270. (Pubitemid 41529421)
    • (2005) IEEE Transactions on Computers , vol.54 , Issue.10 , pp. 1258-1270
    • Clark, N.T.1    Zhong, H.2    Mahlke, S.A.3
  • 49
    • 21644435314 scopus 로고    scopus 로고
    • Application-specific processing on a general-purpose core via transparent instruction set customization
    • Proceedings of the 37th Annual International Symposium on Microarchitecture, MICRO-37 2004
    • CLARK, N., KUDLUR, M., PARK, H.,MAHLKE, S., AND FLAUTNER, K. 2004. Application-specific processing on a general-purpose core via transparent instruction set customization. In Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'37). 30-40. (Pubitemid 40927684)
    • (2004) Proceedings of the Annual International Symposium on Microarchitecture, MICRO , pp. 30-40
    • Clark, N.1    Kudlur, M.2    Park, H.3    Mahlke, S.4    Flautner, K.5
  • 52
    • 0000227930 scopus 로고    scopus 로고
    • Reconfigurable computing: A survey of systems and software
    • COMPTON, K. AND HAUCK, S. 2002. Reconfigurable computing: A survey of systems and software. ACM Comput. Surv. 34, 2, 171-210.
    • (2002) ACM Comput. Surv. , vol.34 , Issue.2 , pp. 171-210
    • Compton, K.1    Hauck, S.2
  • 56
    • 0031101696 scopus 로고    scopus 로고
    • Hardware/software co-design
    • DE MICHELI, G. AND GUPTA, R. K. 1997. Hardware/software co-design. Proc. IEEE 85, 3, 349-365. (Pubitemid 127745567)
    • (1997) Proceedings of the IEEE , vol.85 , Issue.3 , pp. 349-365
    • De Micheli, G.1
  • 57
    • 84955557263 scopus 로고    scopus 로고
    • RaPiD - Reconfigurable Pipelined Datapath
    • Field-Programmable Logic: Smart Applications, New Paradigms and Compilers
    • EBELING, C., CRONQUIST, D., AND FRANKLIN, P. 1996. Rapid - reconfigurable pipelined datapath. In Proceedings of the 6th International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers (FPL'96). Springer, 126-135. (Pubitemid 126136669)
    • (1996) Lecture Notes in Computer Science , Issue.1142 , pp. 126-135
    • Ebeling, C.1    Cronquist, D.C.2    Franklin, P.3
  • 60
    • 0008690083 scopus 로고    scopus 로고
    • Tech. rep TR. 96-20, Department of Computing Science, University of Alberta, Canada
    • FORTIN, S. 1996. The graph isomorphism problem. Tech. rep. TR 96-20, Department of Computing Science, University of Alberta, Canada.
    • (1996) The Graph Isomorphism Problem
    • Fortin, S.1
  • 61
    • 34548101126 scopus 로고    scopus 로고
    • A linear complexity algorithm for the automatic generation of convex multiple input multiple output instructions
    • Reconfigurable Computing: Architectures, Tools and Applications - Third International Workshop, ARC 2007 Proceedings
    • GALUZZI, C., BERTELS, K., AND VASSILIADIS, S. 2007a. A linear complexity algorithm for the automatic generation of convex multiple input multiple output instructions. In Proceedings of the 3rd International Workshop Reconfigurable Computing: Architectures, Tools and Applications (ARC'07), P. C. Diniz, E. Marques, K. Bertels, M. M. Fernandes, and J.M. P. Cardoso Eds., Lecture Notes in Computer Science, vol. 4419. Springer, 130-141. (Pubitemid 47289789)
    • (2007) Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) , vol.LNCS4419 , pp. 130-141
    • Galuzzi, C.1    Bertels, K.2    Vassiliadis, S.3
  • 62
    • 38149091446 scopus 로고    scopus 로고
    • A linear complexity algorithm for the generation of multiple input single output instructions of variable size
    • S. Vassiliadis, M. Berekovic, and T. D. Hämäl äinen, Eds. Lecture Notes in Computer Science, vol. 4599. Springer
    • GALUZZI, C., BERTELS, K., AND VASSILIADIS, S. 2007b. A linear complexity algorithm for the generation of multiple input single output instructions of variable size. In Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop (SAMOS'07), S. Vassiliadis, M. Berekovic, and T. D. Hämäl äinen, Eds. Lecture Notes in Computer Science, vol. 4599. Springer, 283-293.
    • (2007) Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop (SAMOS'07) , pp. 283-293
    • Galuzzi, C.1    Bertels, K.2    Vassiliadis, S.3
  • 68
    • 0001442299 scopus 로고
    • A method for minimizing the number of internal states in incompletely specified sequential networks
    • GRASSELLI, A. AND LUCCIO, F. 1965. A method for minimizing the number of internal states in incompletely specified sequential networks. IEEE Trans. Electron. Comp. EC-14, 350-359.
    • (1965) IEEE Trans. Electron. Comp. , vol.EC-14 , pp. 350-359
    • Grasselli, A.1    Luccio, F.2
  • 78
    • 0034174010 scopus 로고    scopus 로고
    • Video image processing with the Sonic architecture
    • DOI 10.1109/2.839321
    • HAYNES, S. D., STONE, J., CHEUNG, P. Y. K., AND LUK, W. 2000. Video image processing with the sonic architecture. Comput. 33, 4, 50-57. (Pubitemid 30585679)
    • (2000) Computer , vol.33 , Issue.4 , pp. 50-57
    • Haynes, S.D.1    Stone, J.2    Cheung, P.Y.K.3    Luk, W.4
  • 82
    • 0037682301 scopus 로고    scopus 로고
    • Managing dynamic reconfiguration overhead in system-on-a-chip design using reconfigurable datapaths and optimized interconnection networks
    • HUANG, Z. AND MALIK, S. 2001. Managing dynamic reconfiguration overhead in system-on-a-chip design using reconfigurable datapaths and optimized interconnection networks. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'01). 735-740.
    • (2001) Proceedings of the Conference on Design, Automation and Test in Europe (DATE'01) , pp. 735-740
    • Huang, Z.1    Malik, S.2
  • 83
    • 85008021361 scopus 로고    scopus 로고
    • The design of dynamically reconfigurable datapath coprocessors
    • HUANG, Z., MALIK, S., MOREANO, N., AND ARAUJO, G. 2004. The design of dynamically reconfigurable datapath coprocessors. Trans. Embed. Comput. Syst. 3, 2, 361-384.
    • (2004) Trans. Embed. Comput. Syst. , vol.3 , Issue.2 , pp. 361-384
    • Huang, Z.1    Malik, S.2    Moreano, N.3    Araujo, G.4
  • 86
    • 0026995421 scopus 로고
    • Integer programming approach to instruction implementation method selection problem
    • IMAI, M., SATO, J., ALOMARY, A., AND HIKICHI, N. 1992. An integer programming approach to instruction implementation method selection problem. In Proceedings of the Conference on European Design Automation (EURO-DAC'92). 106-111. (Pubitemid 23612340)
    • (1992) European Design Automation Conference , pp. 106-111
    • Imai Masaharu1    Alomary Alauddin2    Sato Jun3    Hikichi Nobuyuki4
  • 88
    • 0029368713 scopus 로고
    • Spyder: A sure (superscalar and reconfigurable) processor
    • ISELI, C. AND SANCHEZ, E. 1995. Spyder: A sure (superscalar and reconfigurable) processor. J. Supercomput. 9, 3, 231-252.
    • (1995) J. Supercomput. , vol.9 , Issue.3 , pp. 231-252
    • Iseli, C.1    Sanchez, E.2
  • 90
    • 34547233815 scopus 로고    scopus 로고
    • Exploiting forwarding to improve data bandwidth of instruction-set extensions
    • DOI 10.1145/1146909.1146924, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • JAYASEELAN, R., LIU, H., AND MITRA, T. 2006. Exploiting forwarding to improve data bandwidth of instruction-set extensions. In Proceedings of the 43rd Annual Conference on Design Automation (DAC'06). 43-48. (Pubitemid 47113864)
    • (2006) Proceedings - Design Automation Conference , pp. 43-48
    • Jayaseelan, R.1    Liu, H.2    Mitra, T.3
  • 93
    • 24944549245 scopus 로고    scopus 로고
    • Automated instruction-set extension of embedded processors with application to MPEG-4 video encoding
    • Proceedings - 16th International Conference on Application-Specific Systems, Architectures, and Processors
    • KAVVADIAS, N. AND NIKOLAIDIS, S. 2005. Automated instruction-set extension of embedded processors with application to mpeg-4 video encoding. In Proceedings of the IEEE International Conference on Application-Specific Systems, Architecture Processors (ASAP'05). 140-145. (Pubitemid 41311371)
    • (2005) Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors , pp. 140-145
    • Kavvadias, N.1    Nikolaidis, S.2
  • 94
    • 34047105661 scopus 로고    scopus 로고
    • A flexible instruction generation framework for extending embedded processors
    • 1653052, 2006 IEEE Mediterranean Electrotechnical Conference, MELECON 2006 - Circuits and Systems for Signal Processing, lnformation and Communication Technologies, and Power Sources and Systems
    • KAVVADIAS, N. AND NIKOLAIDIS, S. May 16-19, 2006. A flexible instruction generation framework for extending embedded processors. In Proceedings of the 13th IEEE Mediterranean Electrotechnical Conference (MELECON'06). 125-128. (Pubitemid 46522138)
    • (2006) Proceedings of the Mediterranean Electrotechnical Conference - MELECON , vol.2006 , pp. 125-128
    • Kavvadias, N.1    Nikolaidis, S.2
  • 96
    • 56549096013 scopus 로고    scopus 로고
    • Rapid design of area-efficient custom instructions for reconfigurable embedded processing
    • LAM, S.-K. AND SRIKANTHAN, T. 2009. Rapid design of area-efficient custom instructions for reconfigurable embedded processing. J. Syst. Archit. 55, 1, 1-14.
    • (2009) J. Syst. Archit. , vol.55 , Issue.1 , pp. 1-14
    • Lam, S.-K.1    Srikanthan, T.2
  • 97
    • 33745973319 scopus 로고    scopus 로고
    • Rapid generation of custom instructions using predefined dataflow structures
    • DOI 10.1016/j.micpro.2006.02.012, PII S0141933106000366
    • LAM, S. K., SRIKANTHAM, T., AND CLARKE, C. T. 2006. Rapid generation of custom instructions using predefined dataflow structures. Microprocess. Microsyst. 30, 6, (Special Issue on FPGA's), 355-366. (Pubitemid 44067254)
    • (2006) Microprocessors and Microsystems , vol.30 , Issue.6 , pp. 355-366
    • Lam, S.-K.1    Srikanthan, T.2    Clarke, C.T.3
  • 102
    • 33846950858 scopus 로고    scopus 로고
    • Instruction set synthesis with efficient instruction encoding for configurable processors
    • LEE, J.-E., CHOI, K., AND DUTT, N. D. 2007. Instruction set synthesis with efficient instruction encoding for configurable processors. ACM Trans. Des. Autom. Electron. Syst. 12, 1, 8.
    • (2007) ACM Trans. Des. Autom. Electron. Syst. , vol.12 , Issue.1 , pp. 8
    • Lee, J.-E.1    Choi, K.2    Dutt, N.D.3
  • 103
  • 104
    • 27944432110 scopus 로고    scopus 로고
    • Effective bounding techniques for solving unate and binate covering problems
    • 24.3, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
    • LI, X. Y., STALLMANN, M. F., AND BRGLEZ, F. 2005. Effective bounding techniques for solving unate and binate covering problems. In Proceedings of the 42nd Annual Conference on Design Automation (DAC'05). 385-390. (Pubitemid 41675467)
    • (2005) Proceedings - Design Automation Conference , pp. 385-390
    • Li, X.Y.1    Stallmann, M.F.2    Brglez, F.3
  • 109
    • 0015604699 scopus 로고
    • An effective heuristic algorithm for the traveling-salesman problem
    • LIN, S. AND KERNIGHAN, B. 1973. An effective heuristic algorithm for the traveling-salesman problem. Oper. Res. 21, 2, 498-516.
    • (1973) Oper. Res. , vol.21 , Issue.2 , pp. 498-516
    • Lin, S.1    Kernighan, B.2
  • 116
    • 0031096921 scopus 로고    scopus 로고
    • An Algorithm for Hardware/Software Partitioning Using Mixed Integer Linear Programming
    • NIEMANN, R. AND MARWEDEL, P. 1997. An algorithm for hardware/software partitioning using mixed integer linear programming. Des. Automat. Embedd. Syst. 2, 2, Special Issue: Partitioning Methods for Embedded Systems, 165-193. (Pubitemid 127506131)
    • (1997) Design Automation for Embedded Systems , vol.2 , Issue.2 , pp. 165-193
    • Niemann, R.1    Marwedel, P.2
  • 120
    • 29144448047 scopus 로고    scopus 로고
    • Exploiting pipelining to relax register-file port constraints of instruction-set extensions
    • CASES 2005: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
    • POZZI, L. AND IENNE, P. 2005. Exploiting pipelining to relax register-file port constraints of instruction-set extensions. In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES'05). 2-10. (Pubitemid 41802797)
    • (2005) CASES 2005: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems , pp. 2-10
    • Pozzi, L.1    Ienne, P.2
  • 126
    • 79955144199 scopus 로고    scopus 로고
    • A Survey of Reconfigurable Computing Architectures
    • Field-Programmable Logic and Applications: From PFGAs to Computing Paradigm
    • RADUNOVIC, B. AND MILUTINOVIC, V. M. 1998. A survey of reconfigurable computing architectures. In Proceedings of the 8th International Workshop on Field-Programmable Logic and Applications, From FPGAs to Computing Paradigm (FPL'98). Springer, 376-385. (Pubitemid 128125234)
    • (1998) Lecture Notes in Computer Science , Issue.1482 , pp. 376-385
    • Radunovic, B.1    Milutinovic, V.2
  • 130
  • 135
  • 136
    • 34547205574 scopus 로고    scopus 로고
    • Efficient architectures through application clustering and architectural heterogeneity
    • DOI 10.1145/1176760.1176784, CASES 2006: International Conference on Compilers, Architecture and Synthesis for Embedded Systems
    • STROZEK, L. AND BROOKS, D. 2006. Efficient architectures through application clustering and architectural heterogeneity. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06). 190-200. (Pubitemid 47113107)
    • (2006) CASES 2006: International Conference on Compilers, Architecture and Synthesis for Embedded Systems , pp. 190-200
    • Strozek, L.1    Brooks, D.2
  • 145
    • 33744960368 scopus 로고    scopus 로고
    • A RISC architecture extended by an efficient tightly coupled reconfigurable unit
    • DOI 10.1080/00207210600565127, PII X5544056465136
    • VASSILIADIS, N., KAVVADIAS, N., THEODORIDIS, G., AND NIKOLAIDIS, S. 2006. A risc architecture extended by an efficient tightly coupled reconfigurable unit. Inte. J. Electron. 93, 6, 421-438. (Pubitemid 43852904)
    • (2006) International Journal of Electronics , vol.93 , Issue.6 , pp. 421-438
    • Vassiliadis, N.1    Kavvadias, N.2    Theodoridis, G.3    Nikolaidis, S.4
  • 149
    • 0034846651 scopus 로고    scopus 로고
    • Hardware/software instruction set configurability for system-on-chip processors
    • WANG, A., KILLIAN, E., MAYDAN, D., AND ROWEN, C. 2001. Hardware/software instruction set configurability for system-on-chip processors. In Proceedings of the 38th Conference on Design Automation (DAC'01). 184-188. (Pubitemid 32840948)
    • (2001) Proceedings - Design Automation Conference , pp. 184-188
    • Wang, A.1    Killian, E.2    Maydan, D.3    Rowen, C.4
  • 153
    • 35048883983 scopus 로고
    • M.S. thesis, Department of Electrical and Computer Engineering, University of Toronto
    • WITTIG, R. D. 1995. Onechip: An fpga processor with reconfigurable logic. M.S. thesis, Department of Electrical and Computer Engineering, University of Toronto.
    • (1995) Onechip: An Fpga Processor with Reconfigurable Logic
    • Wittig, R.D.1
  • 158
    • 24944546345 scopus 로고    scopus 로고
    • Scalable custom instructions identification for instruction-set extensible processors
    • CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
    • YU, P. AND MITRA, T. 2004. Scalable custom instructions identification for instruction-set extensible processors. In Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'04). 69-78. (Pubitemid 41802768)
    • (2004) CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems , pp. 69-78
    • Yu, P.1    Mitra, T.2
  • 159
    • 27644590802 scopus 로고    scopus 로고
    • Satisfying real-time constraints with custom instructions
    • CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and Systems Synthesis
    • YU, P. AND MITRA, T. 2005. Satisfying real-time constraints with custom instructions. In Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05). 166-171. (Pubitemid 41551304)
    • (2005) CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and System Synthesis , pp. 166-171
    • Yu, P.1    Mitra, T.2
  • 161
    • 78049345748 scopus 로고    scopus 로고
    • Fast custom instruction identification algorithm based on basic convex pattern model for supporting asip automated design
    • ZHAO, K., BIAN, J., DONG, S., SONG, Y., AND GOTO, S. 2008. Fast custom instruction identification algorithm based on basic convex pattern model for supporting asip automated design. IEICE Trans. Fundam. Electron. Comm. Comput. Sci. E91-A, 6, 1478-1487.
    • (2008) IEICE Trans. Fundam. Electron. Comm. Comput. Sci. , vol.E91-A , Issue.6 , pp. 1478-1487
    • Zhao, K.1    Bian, J.2    Dong, S.3    Song, Y.4    Goto, S.5


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.