메뉴 건너뛰기




Volumn , Issue , 1997, Pages 330-335

MediaBench: A tool for evaluating and synthesizing multimedia and communications systems

Author keywords

[No Author keywords available]

Indexed keywords

ALGORITHMS; COMPUTER AIDED SOFTWARE ENGINEERING; COMPUTER ARCHITECTURE; COMPUTER SYSTEMS PROGRAMMING; DATA COMMUNICATION SYSTEMS; INTERACTIVE COMPUTER SYSTEMS; PROGRAM COMPILERS;

EID: 0031339427     PISSN: 10724451     EISSN: None     Source Type: None    
DOI: 10.1109/MICRO.1997.645830     Document Type: Conference Paper
Times cited : (1626)

References (25)
  • 1
    • 0019596071 scopus 로고
    • Trace Scheduling: A Technique for Global Microcode Compaction
    • J. A. Fisher Trace Scheduling: A Technique for Global Microcode Compaction IEEE Transactions on Computing C-30 478 490 1981
    • (1981) IEEE Transactions on Computing , vol.C-30 , pp. 478-490
    • Fisher, J.A.1
  • 3
    • 0026980852 scopus 로고
    • Effective Compiler Support for Predicated Execution Using the Hyperblock
    • S. A. Mahlke D. C. Lin W. Y. Chen R. E. Hank R. A. Bringmann Effective Compiler Support for Predicated Execution Using the Hyperblock Proc. of Micro 25 Proc. of Micro 1992
    • (1992) , vol.25
    • Mahlke, S.A.1    Lin, D.C.2    Chen, W.Y.3    Hank, R.E.4    Bringmann, R.A.5
  • 4
    • 0003518736 scopus 로고
    • Highly Concurrent Scalar Processing
    • P. Y. Hsu Highly Concurrent Scalar Processing 1986 CSG-49 University of Illinois at Urbana-Champaign
    • (1986)
    • Hsu, P.Y.1
  • 6
    • 85176669673 scopus 로고
    • A VLIW Architecture for a Trace Scheduling Compiler
    • R. P. Colwell R. P. Nix J. J. O'Donnell D. B. Papworth P. K. Rodman A VLIW Architecture for a Trace Scheduling Compiler Proc. of Architectural Support of Programming Languages and Operating Systems Proc. of Architectural Support of Programming Languages and Operating Systems 1982
    • (1982)
    • Colwell, R.P.1    Nix, R.P.2    O'Donnell, J.J.3    Papworth, D.B.4    Rodman, P.K.5
  • 7
    • 0031098507 scopus 로고    scopus 로고
    • Hardware-Software Interactions on MPACT
    • P. Kalapathy Hardware-Software Interactions on MPACT IEEE Micro 17 20 26 1997
    • (1997) IEEE Micro , vol.17 , pp. 20-26
    • Kalapathy, P.1
  • 8
    • 3743061467 scopus 로고    scopus 로고
    • MicroUnity's MediaProcessor Architecture
    • C. Hansen MicroUnity's MediaProcessor Architecture IEEE Micro 17 34 41 1997
    • (1997) IEEE Micro , vol.17 , pp. 34-41
    • Hansen, C.1
  • 9
    • 85008066203 scopus 로고    scopus 로고
    • Media Processing: A New Design Target
    • R. B. Lee M. D. Smith Media Processing: A New Design Target IEEE Micro 17 6 9 1997
    • (1997) IEEE Micro , vol.17 , pp. 6-9
    • Lee, R.B.1    Smith, M.D.2
  • 11
    • 3042947049 scopus 로고
    • SPEC Benchmark Suite Release 1.0
    • SPEC Benchmark Suite Release 1.0 1989 SPEC
    • (1989)
  • 12
    • 33744459173 scopus 로고
    • TPC Benchmark A
    • ITOM International Co. Los Altos
    • TPC Benchmark A 1989 ITOM International Co. Los Altos
    • (1989)
  • 13
    • 0021504618 scopus 로고
    • Dhrystone: A Synthetic Systems Programming Benchmark
    • R. P. Weicker Dhrystone: A Synthetic Systems Programming Benchmark Communications of the ACM 27 1013 1030 1984
    • (1984) Communications of the ACM , vol.27 , pp. 1013-1030
    • Weicker, R.P.1
  • 14
    • 0003268059 scopus 로고
    • DSPstone: A DSP-Oriented Benchmarking Methodology
    • V. Zivojnovic J. M. Velarde C. Schlager H. Meyr DSPstone: A DSP-Oriented Benchmarking Methodology Proc. of Signal Processing Applications & Technology Proc. of Signal Processing Applications & Technology Dallas 1994
    • (1994)
    • Zivojnovic, V.1    Velarde, J.M.2    Schlager, C.3    Meyr, H.4
  • 15
    • 85176679014 scopus 로고
    • Application-Driven Design of DSP Architectures and Compilers
    • M. A. R. Saghir P. Chow C. G. Lee Application-Driven Design of DSP Architectures and Compilers Proc. of Acoustics, Speech, and Signal Processing Proc. of Acoustics, Speech, and Signal Processing 1994
    • (1994)
    • Saghir, M.A.R.1    Chow, P.2    Lee, C.G.3
  • 16
    • 85176666461 scopus 로고
    • Determining Cost-Effective Multiple Issue Processor Designs
    • T. Conte W. Mangione-Smith Determining Cost-Effective Multiple Issue Processor Designs Proc. of International Conference on Computer Design Proc. of International Conference on Computer Design 1993
    • (1993)
    • Conte, T.1    Mangione-Smith, W.2
  • 17
    • 0030264682 scopus 로고    scopus 로고
    • Custom-Fit Processors: Letting Applications Define Architectures
    • J. A. Fisher P. Faraboschi G. Desoli Custom-Fit Processors: Letting Applications Define Architectures Proc. of Micro 29 Proc. of Micro 29 Paris France 1996
    • (1996)
    • Fisher, J.A.1    Faraboschi, P.2    Desoli, G.3
  • 18
    • 0003855464 scopus 로고    scopus 로고
    • Applied Cryptography: Protocols, Algorithms, and Source Code in C.
    • John Wiley & Sons New York
    • B. Schneier Applied Cryptography: Protocols, Algorithms, and Source Code in C. 1996 John Wiley & Sons New York
    • (1996)
    • Schneier, B.1
  • 19
    • 0003802875 scopus 로고
    • 3D Computer Graphics
    • 2 Addison-Wesley
    • A. Watt 3D Computer Graphics 2 1993 Addison-Wesley
    • (1993)
    • Watt, A.1
  • 20
    • 20744436272 scopus 로고
    • IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors
    • P. P. Chang S. A. Mahlke W. Y. Chen N. J. Warter W.M. W. Hwu IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors Proc. of International Symposium on Computer Architecture Proc. of International Symposium on Computer Architecture 1991
    • (1991)
    • Chang, P.P.1    Mahlke, S.A.2    Chen, W.Y.3    Warter, N.J.4    Hwu, W.M.W.5
  • 21
    • 0003719406 scopus 로고
    • Computer Organization & Design The Hardware/Software Interface
    • Large and Fast: Exploiting Memory Hierarchy Morgan Kaufmann
    • D. A. Patterson J. L. Hennessy Computer Organization & Design The Hardware/Software Interface 1994 Morgan Kaufmann Large and Fast: Exploiting Memory Hierarchy
    • (1994)
    • Patterson, D.A.1    Hennessy, J.L.2
  • 22
    • 85176674097 scopus 로고    scopus 로고
    • System Level Synthesis of Low-Power Real-Time Systems
    • CA
    • D. Kirovski M. Potkonjak System Level Synthesis of Low-Power Real-Time Systems Design Automation Conference Design Automation Conference Anaheim CA 1997
    • (1997)
    • Kirovski, D.1    Potkonjak, M.2
  • 23
    • 85176690613 scopus 로고    scopus 로고
    • Application-Driven Synthesis of Core-Based Systems
    • D. Kirovski C. Lee W. H. Mangione-Smith M. Potkonjak Application-Driven Synthesis of Core-Based Systems Proc. of International Conference on Computer Aided Design Proc. of International Conference on Computer Aided Design 1997
    • (1997)
    • Kirovski, D.1    Lee, C.2    Mangione-Smith, W.H.3    Potkonjak, M.4
  • 24
    • 33747857909 scopus 로고    scopus 로고
    • 401GF is Coolest, Cheapest PowerPC
    • J. Turley 401GF is Coolest, Cheapest PowerPC 10 1996
    • (1996) , vol.10
    • Turley, J.1
  • 25
    • 0004125457 scopus 로고    scopus 로고
    • Computer Architecture: Pipelined and Parallel Processor Design
    • Jones and Bartlett
    • M. J. Flynn Computer Architecture: Pipelined and Parallel Processor Design 1996 Jones and Bartlett
    • (1996)
    • Flynn, M.J.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.