메뉴 건너뛰기




Volumn 55, Issue 1, 2009, Pages 1-14

Rapid design of area-efficient custom instructions for reconfigurable embedded processing

Author keywords

Area estimation; Design exploration; FPGA; Look up table; Reconfigurable logic

Indexed keywords

BENCHMARKING; DESIGN; FIELD PROGRAMMABLE GATE ARRAYS (FPGA); PROGRAM PROCESSORS; TABLE LOOKUP;

EID: 56549096013     PISSN: 13837621     EISSN: None     Source Type: Journal    
DOI: 10.1016/j.sysarc.2008.06.003     Document Type: Article
Times cited : (30)

References (55)
  • 1
    • 56549130119 scopus 로고    scopus 로고
    • ARC Configurable Processor. Available: .
    • ARC Configurable Processor. Available: .
  • 2
    • 0037247317 scopus 로고    scopus 로고
    • Configurable processors for embedded computing
    • Dutt N., and Choi K. Configurable processors for embedded computing. Computer 36 1 (2003) 120-123
    • (2003) Computer , vol.36 , Issue.1 , pp. 120-123
    • Dutt, N.1    Choi, K.2
  • 3
    • 0033884908 scopus 로고    scopus 로고
    • Xtensa: a configurable and extensible processor
    • March-April
    • Gonzalez R.E. Xtensa: a configurable and extensible processor. IEEE Micro 20 2 (2000) 60-70 March-April
    • (2000) IEEE Micro , vol.20 , Issue.2 , pp. 60-70
    • Gonzalez, R.E.1
  • 4
    • 0141717701 scopus 로고    scopus 로고
    • Closing the SoC design gap
    • September
    • Henkel J. Closing the SoC design gap. Computer 36 9 (2003) 119-121 September
    • (2003) Computer , vol.36 , Issue.9 , pp. 119-121
    • Henkel, J.1
  • 5
    • 4644354585 scopus 로고    scopus 로고
    • On the chip or on the fly
    • September
    • Flaherty N. On the chip or on the fly. IEE Review 50 9 (2004) 48-51 September
    • (2004) IEE Review , vol.50 , Issue.9 , pp. 48-51
    • Flaherty, N.1
  • 6
    • 0036709503 scopus 로고    scopus 로고
    • Reconfigurable instruction set processors from a hardware/software perspective
    • September
    • Barat F., Lauwereins R., and Deconinck G. Reconfigurable instruction set processors from a hardware/software perspective. IEEE Transactions on Software Engineering 28 9 (2002) 847-862 September
    • (2002) IEEE Transactions on Software Engineering , vol.28 , Issue.9 , pp. 847-862
    • Barat, F.1    Lauwereins, R.2    Deconinck, G.3
  • 7
    • 56549084346 scopus 로고    scopus 로고
    • Altera: NIOS II Processors. Available from: .
    • Altera: NIOS II Processors. Available from: .
  • 8
    • 56549119929 scopus 로고    scopus 로고
    • Xilinx Platform FPGAs. Available from: .
    • Xilinx Platform FPGAs. Available from: .
  • 9
    • 56549093319 scopus 로고    scopus 로고
    • Video/Imaging Design Line, Analysis: Stretch's Second-Gen Configurable Processor, March 2007. Available from: .
    • Video/Imaging Design Line, Analysis: Stretch's Second-Gen Configurable Processor, March 2007. Available from: .
  • 12
    • 17844367320 scopus 로고    scopus 로고
    • Guest editors' introduction: advances in configurable computing
    • March-April
    • Lysaght P., and Subrahmanyam P.A. Guest editors' introduction: advances in configurable computing. IEEE Design & Test of Computers 22 2 (2005) 85-89 March-April
    • (2005) IEEE Design & Test of Computers , vol.22 , Issue.2 , pp. 85-89
    • Lysaght, P.1    Subrahmanyam, P.A.2
  • 13
    • 56549091554 scopus 로고    scopus 로고
    • W. Marx, V. Aggarwal, FPGAs Are Everywhere - In Design, Test & Control, NI Developer Zone, June 2008. Available from: .
    • W. Marx, V. Aggarwal, FPGAs Are Everywhere - In Design, Test & Control, NI Developer Zone, June 2008. Available from: .
  • 14
    • 56549105327 scopus 로고    scopus 로고
    • Y. Tanurhan, Logic Suppliers Seek Ways to Embed FPGAs, EE Times India, March 2001. Available from: .
    • Y. Tanurhan, Logic Suppliers Seek Ways to Embed FPGAs, EE Times India, March 2001. Available from: .
  • 15
    • 56549119679 scopus 로고    scopus 로고
    • B. Zeidman, The Future of Programmable Logic, Embedded.com, 2003. Available from: .
    • B. Zeidman, The Future of Programmable Logic, Embedded.com, 2003. Available from: .
  • 16
    • 4143133105 scopus 로고    scopus 로고
    • M. Glesner, T. Hollstein, L.S. Indrusiak, P. Zipf, T. Pionteck, M. Petrov, H. Zimmer, T. Murgan, Reconfigurable platforms for ubiquitous computing, in: Proceedings of the 1st Conference on Computing Frontiers, April 2004, pp. 377-389.
    • M. Glesner, T. Hollstein, L.S. Indrusiak, P. Zipf, T. Pionteck, M. Petrov, H. Zimmer, T. Murgan, Reconfigurable platforms for ubiquitous computing, in: Proceedings of the 1st Conference on Computing Frontiers, April 2004, pp. 377-389.
  • 19
    • 27444443319 scopus 로고    scopus 로고
    • Automated custom instruction generation for domain-specific processor acceleration
    • October
    • Clark N.T., Zhong H., and Mahlke S.A. Automated custom instruction generation for domain-specific processor acceleration. IEEE Transactions on Computers 54 10 (2005) 1258-1270 October
    • (2005) IEEE Transactions on Computers , vol.54 , Issue.10 , pp. 1258-1270
    • Clark, N.T.1    Zhong, H.2    Mahlke, S.A.3
  • 22
    • 24944546345 scopus 로고    scopus 로고
    • P. Yu, T. Mitra, Scalable custom instructions identification for instruction-set extensible processors, in: Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, September 2004, pp. 69-78.
    • P. Yu, T. Mitra, Scalable custom instructions identification for instruction-set extensible processors, in: Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, September 2004, pp. 69-78.
  • 23
    • 2442428419 scopus 로고    scopus 로고
    • J. Cong, Y. Fan, G. Han, Z. Zhang, Application-specific instruction generation for configurable processor architectures, in: Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, February 2004, pp. 183-189.
    • J. Cong, Y. Fan, G. Han, Z. Zhang, Application-specific instruction generation for configurable processor architectures, in: Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, February 2004, pp. 183-189.
  • 25
    • 4444384247 scopus 로고    scopus 로고
    • P. Yu, T. Mitra, Characterizing embedded applications for instruction-set extensible processors, in: Proceedings of the 41st IEEE/ACM on Design Automation Conference, June 2004, pp. 723-728.
    • P. Yu, T. Mitra, Characterizing embedded applications for instruction-set extensible processors, in: Proceedings of the 41st IEEE/ACM on Design Automation Conference, June 2004, pp. 723-728.
  • 26
    • 16244418071 scopus 로고    scopus 로고
    • DAOmap: a depth-optimal area optimization mapping algorithm for fpga designs
    • November
    • Chen D., and Cong J. DAOmap: a depth-optimal area optimization mapping algorithm for fpga designs. IEEE International Conference on Computer-Aided Design (2004) 752-759 November
    • (2004) IEEE International Conference on Computer-Aided Design , pp. 752-759
    • Chen, D.1    Cong, J.2
  • 27
    • 85165842998 scopus 로고    scopus 로고
    • J. Lin, D. Chen, J. Cong, Optimal simultaneous mapping and clustering for FPGA delay optimization, in: Proceedings of Design Automation Conference, July 2006.
    • J. Lin, D. Chen, J. Cong, Optimal simultaneous mapping and clustering for FPGA delay optimization, in: Proceedings of Design Automation Conference, July 2006.
  • 28
    • 84893790504 scopus 로고    scopus 로고
    • A. Nayak, M. Haldar, A. Choudhary, P. Banerjee, Accurate area and delay estimators for FPGAs, in: Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, March 2002, pp. 862-869.
    • A. Nayak, M. Haldar, A. Choudhary, P. Banerjee, Accurate area and delay estimators for FPGAs, in: Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, March 2002, pp. 862-869.
  • 29
    • 0036050384 scopus 로고    scopus 로고
    • P. Bjureus, M. Millberg, A. Jantsch, FPGA resource and timing estimation from MATLAB execution traces, in: Proceedings of the International Symposium on Hardware/Software Codesign, May 2002, pp. 31-36.
    • P. Bjureus, M. Millberg, A. Jantsch, FPGA resource and timing estimation from MATLAB execution traces, in: Proceedings of the International Symposium on Hardware/Software Codesign, May 2002, pp. 31-36.
  • 30
    • 4444254091 scopus 로고    scopus 로고
    • C. Brandolese, W. Fornaciari, F. Salice, An area estimation methodology for FPGA based designs at systemC-level, in: Proceedings of the 41st Design Automation Conference, 2004, pp. 29-132.
    • C. Brandolese, W. Fornaciari, F. Salice, An area estimation methodology for FPGA based designs at systemC-level, in: Proceedings of the 41st Design Automation Conference, 2004, pp. 29-132.
  • 33
    • 38849103565 scopus 로고    scopus 로고
    • H.P. Huynh, J.E. Sim, T. Mitra, An efficient framework for dynamic reconfiguration of instruction-set customization, in: Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, September 2007, pp. 135-144.
    • H.P. Huynh, J.E. Sim, T. Mitra, An efficient framework for dynamic reconfiguration of instruction-set customization, in: Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, September 2007, pp. 135-144.
  • 34
    • 34547249230 scopus 로고    scopus 로고
    • L. Bauer, M. Shafique, S. Kramer, J. Henkel, RISPP: rotating instruction set processing platform, in: ACM/IEEE/EDA Design Automation Conference, June 2007, pp. 791-796.
    • L. Bauer, M. Shafique, S. Kramer, J. Henkel, RISPP: rotating instruction set processing platform, in: ACM/IEEE/EDA Design Automation Conference, June 2007, pp. 791-796.
  • 35
    • 56549088153 scopus 로고    scopus 로고
    • L. Bauer, M. Shafique, J. Henkel, Efficient resource utilization for an extensible processor through dynamic instruction set adaptation, in: 5th Workshop on Application Specific Processors, October 2007, pp. 39-46.
    • L. Bauer, M. Shafique, J. Henkel, Efficient resource utilization for an extensible processor through dynamic instruction set adaptation, in: 5th Workshop on Application Specific Processors, October 2007, pp. 39-46.
  • 36
    • 0029545190 scopus 로고    scopus 로고
    • M.J. Wirthlin, B.L. Hutchings, A dynamic instruction set computer, in: Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, April 1995, pp. 99-107.
    • M.J. Wirthlin, B.L. Hutchings, A dynamic instruction set computer, in: Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, April 1995, pp. 99-107.
  • 37
    • 27544482359 scopus 로고    scopus 로고
    • N.T. Clark, J. Blome, M. Chu, S.A. Mahlke, Stuart Biles, Krisztian Flautner, An architecture framework for transparent instruction set customization in embedded processors, in: Proceedings of the 32nd Annual International Symposium on Computer Architecture, June 2005.
    • N.T. Clark, J. Blome, M. Chu, S.A. Mahlke, Stuart Biles, Krisztian Flautner, An architecture framework for transparent instruction set customization in embedded processors, in: Proceedings of the 32nd Annual International Symposium on Computer Architecture, June 2005.
  • 38
    • 29144465665 scopus 로고    scopus 로고
    • S. Yehia, N.T. Clark, S.A. Mahlke, K. Flautner, Exploring the design space of LUT-based transparent accelerators, in: Proceedings of the International Conference on Compilers Architectures and Synthesis for Embedded Systems, September 2005, pp. 11-21.
    • S. Yehia, N.T. Clark, S.A. Mahlke, K. Flautner, Exploring the design space of LUT-based transparent accelerators, in: Proceedings of the International Conference on Compilers Architectures and Synthesis for Embedded Systems, September 2005, pp. 11-21.
  • 39
    • 56549128242 scopus 로고    scopus 로고
    • J. Brown, M. Epalza, Automatically Identifying and Creating Accelerators Directly from C Code, Xcell Journal, Issue 58, 2006. Available from: .
    • J. Brown, M. Epalza, Automatically Identifying and Creating Accelerators Directly from C Code, Xcell Journal, Issue 58, 2006. Available from: .
  • 40
    • 56549083316 scopus 로고    scopus 로고
    • Xilinx Data Sheet, Virtex 2.5V FPGA Detailed Functional Description, DS003-2, Version 2.8.1, December 2002.
    • Xilinx Data Sheet, Virtex 2.5V FPGA Detailed Functional Description, DS003-2, Version 2.8.1, December 2002.
  • 41
    • 0000227930 scopus 로고    scopus 로고
    • K. Compton, S. Hauck, Reconfigurable computing: a survey of systems and software, in: ACM Computing Surveys, vol. 34, No. 2, June 2002, pp. 171-210.
    • K. Compton, S. Hauck, Reconfigurable computing: a survey of systems and software, in: ACM Computing Surveys, vol. 34, No. 2, June 2002, pp. 171-210.
  • 42
    • 56549089294 scopus 로고    scopus 로고
    • Xilinx ISE Foundation. Available from: .
    • Xilinx ISE Foundation. Available from: .
  • 43
    • 56549090057 scopus 로고    scopus 로고
    • Trimaran: An Infrastructure for Research in Instruction-Level Parallelism. Available from: .
    • Trimaran: An Infrastructure for Research in Instruction-Level Parallelism. Available from: .
  • 44
    • 4444275354 scopus 로고    scopus 로고
    • P. Biswas, V. Choudhary, K. Atasu, L. Pozzi, P. Ienne, N. Dutt, Introduction of local memory elements in instruction set extensions, in: Proceedings of the 41st Annual IEEE/ACM Design Automation Conference, June 2004, pp. 729-734.
    • P. Biswas, V. Choudhary, K. Atasu, L. Pozzi, P. Ienne, N. Dutt, Introduction of local memory elements in instruction set extensions, in: Proceedings of the 41st Annual IEEE/ACM Design Automation Conference, June 2004, pp. 729-734.
  • 45
    • 29144448047 scopus 로고    scopus 로고
    • L. Pozzi, P. Ienne, Exploiting pipelining to relax register-file port constraints of instruction-set extensions, in: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, 2005, pp. 2-10.
    • L. Pozzi, P. Ienne, Exploiting pipelining to relax register-file port constraints of instruction-set extensions, in: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, 2005, pp. 2-10.
  • 46
    • 84890410415 scopus 로고    scopus 로고
    • L.P. Cordella, P. Foggia, C. Sansone, M. Vento, Performance evaluation of the VF graph matching algorithm, in: Proceedings of the International Conference on Image Analysis and Processing, September 1999, pp. 1172-1177.
    • L.P. Cordella, P. Foggia, C. Sansone, M. Vento, Performance evaluation of the VF graph matching algorithm, in: Proceedings of the International Conference on Image Analysis and Processing, September 1999, pp. 1172-1177.
  • 47
    • 1442337754 scopus 로고    scopus 로고
    • Y. Guo, G.J.M. Smit, H. Broersma, P.M. Heysters, A graph covering algorithm for a coarse grain reconfigurable system, in: Proceedings of the ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems, June 2003, pp. 199-208.
    • Y. Guo, G.J.M. Smit, H. Broersma, P.M. Heysters, A graph covering algorithm for a coarse grain reconfigurable system, in: Proceedings of the ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems, June 2003, pp. 199-208.
  • 48
    • 56549109798 scopus 로고    scopus 로고
    • Xilinx User-Guide, Virtex-5 FPGA User-Guide, UG190, Version 3.2, December 2007.
    • Xilinx User-Guide, Virtex-5 FPGA User-Guide, UG190, Version 3.2, December 2007.
  • 49
    • 56549116186 scopus 로고    scopus 로고
    • Xilinx Application Note, Design Tips for HDL Implementation of Arithmetic Functions, XAPP215, Version 1.0, June 2000.
    • Xilinx Application Note, Design Tips for HDL Implementation of Arithmetic Functions, XAPP215, Version 1.0, June 2000.
  • 50
    • 84886682372 scopus 로고    scopus 로고
    • H. Gao, Y. Yang, X. Ma, G. Dong, Analysis of the effect of LUT size on FPGA area and delay using theoretical derivations, in: Proceedings of the Sixth International Symposium on Quality of Electronic Design, March 2005, pp. 370-374.
    • H. Gao, Y. Yang, X. Ma, G. Dong, Analysis of the effect of LUT size on FPGA area and delay using theoretical derivations, in: Proceedings of the Sixth International Symposium on Quality of Electronic Design, March 2005, pp. 370-374.
  • 51
    • 84962779213 scopus 로고    scopus 로고
    • M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, R.B. Brown, MiBench: a free, commercially representative embedded benchmark suite, in: IEEE International Workshop on Workload Characterization, December 2001, pp. 3-14.
    • M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, R.B. Brown, MiBench: a free, commercially representative embedded benchmark suite, in: IEEE International Workshop on Workload Characterization, December 2001, pp. 3-14.
  • 52
    • 85176673546 scopus 로고    scopus 로고
    • C. Lee, M. Potkonjak, W.H. Mangione-Smith, MediaBench: a tool for evaluating and synthesizing multimedia and communications systems, in: Proceedings of the 13th Annual IEEE/ACM International Symposium on Microarchitecture, December 1997, pp. 330-335.
    • C. Lee, M. Potkonjak, W.H. Mangione-Smith, MediaBench: a tool for evaluating and synthesizing multimedia and communications systems, in: Proceedings of the 13th Annual IEEE/ACM International Symposium on Microarchitecture, December 1997, pp. 330-335.
  • 53
    • 19644386332 scopus 로고    scopus 로고
    • P.G. Sassone, D.S. Wills, On the extraction and analysis of prevalent dataflow patterns, in: Proceedings of the Workshop on Workload Characterization, 2004.
    • P.G. Sassone, D.S. Wills, On the extraction and analysis of prevalent dataflow patterns, in: Proceedings of the Workshop on Workload Characterization, 2004.
  • 54
    • 0025505369 scopus 로고
    • Architecture of field programmable gate arrays: the effect of logic block functionality on area efficiency
    • October
    • Rose J., Francis R.J., Lewis D., and Chow P. Architecture of field programmable gate arrays: the effect of logic block functionality on area efficiency. IEEE Journal of Solid-State Circuits 25 5 (1990) 1217-1225 October
    • (1990) IEEE Journal of Solid-State Circuits , vol.25 , Issue.5 , pp. 1217-1225
    • Rose, J.1    Francis, R.J.2    Lewis, D.3    Chow, P.4
  • 55
    • 24944503384 scopus 로고    scopus 로고
    • R. Lysecky, F. Vahid, A study of the speedups and competitiveness of FPGA soft processor cores using dynamic hardware/software partitioning, in: Proceedings of the Conference on Design, Automation and Test in Europe, 2005, pp. 18-23.
    • R. Lysecky, F. Vahid, A study of the speedups and competitiveness of FPGA soft processor cores using dynamic hardware/software partitioning, in: Proceedings of the Conference on Design, Automation and Test in Europe, 2005, pp. 18-23.


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.