-
2
-
-
33947715600
-
IPC considered harmful for multiprocessor workloads
-
DOI 10.1109/MM.2006.73
-
A. R. Alameldeen and D. A. Wood. IPC considered harmful for multiprocessor workloads. IEEE Micro, 26(4):8-17, July 2006. DOI: 10.1109/MM.2006.73 6 (Pubitemid 46504885)
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 8-17
-
-
Alameldeen, A.R.1
Wood, D.A.2
-
3
-
-
0022806145
-
Cache coherence protocols: Evaluation using a multiprocessor simulation model
-
DOI 10.1145/6513.6514
-
J. Archibald and J.-L. Baer. Cache coherence protocols: Evaluation using a multiprocessor simulation model. ACM Transactions on Computer Systems (TOCS), 4(4):273-298, November 1986. DOI: 10.1145/6513.6514 81 (Pubitemid 17476403)
-
(1986)
ACM Transactions on Computer Systems
, vol.4
, Issue.4
, pp. 273-298
-
-
Archibald, J.1
Baer, J.-L.2
-
4
-
-
70649102910
-
COTSon: Infrastructure for full system simulation
-
January DOI: 10.1145/1496909.1496921 58 97
-
E. Argollo, A. Falcón, P. Faraboschi, M. Monchiero, and D. Ortega. COTSon: Infrastructure for full system simulation. SIGOPS Operating System Review, 43(1):52-61, January 2009. DOI: 10.1145/1496909.1496921 58, 97
-
(2009)
SIGOPS Operating System Review
, vol.43
, Issue.1
, pp. 52-61
-
-
Argollo, E.1
Falcón, A.2
Faraboschi, P.3
Monchiero, M.4
Ortega, D.5
-
5
-
-
33745197022
-
RAMP: Research accelerator for multiple processors\a community vision for a shared experimental parallel HW/SW platform
-
University of California, Berkeley
-
Arvind, K. Asanovic, D. Chiou, J. C. Hoe, C. Kozyrakis, S.-L. Lu, M. Oskin, D. Patterson, J. Rabaey, and J. Wawrzynek. RAMP: Research accelerator for multiple processors\a community vision for a shared experimental parallel HW/SW platform. Technical report, University of California, Berkeley, 2005. 102
-
(2005)
Technical Report
, pp. 102
-
-
Asanovic, A.K.1
Chiou, D.2
Hoe, J.C.3
Kozyrakis, C.4
Lu, S.-L.5
Oskin, M.6
Patterson, D.7
Rabaey, J.8
Wawrzynek, J.9
-
6
-
-
36749086936
-
UNISIM: An open simulation environment and library for complex architecture design and collaborative development
-
DOI 10.1109/L-CA.2007.12
-
D. I. August, S. Girbal J. Chang, D. G. Pérez, G. Mouchard, D. A. Penry, O. Temam, and N Vachharajani. UNISIM:An open simulation environment and library for complex architecture design and collaborative development. IEEE Computer Architecture Letters, 6(2):45-48, February 2007. DOI: 10.1109/L-CA.2007.12 61 (Pubitemid 350214235)
-
(2007)
IEEE Computer Architecture Letters
, vol.6
, Issue.2
-
-
August, D.1
Chang, J.2
Girbal, S.3
Gracia-Perez, D.4
Mouchard, G.5
Penry, D.6
Temam, O.7
Vachharajani, N.8
-
7
-
-
0036469652
-
SimpleScalar: An infrastructure for computer system modeling
-
February 51, 55
-
T. Austin, E. Larson, and D. Ernst. SimpleScalar: An infrastructure for computer system modeling. IEEE Computer, 35(2):59-67, February 2002. 51, 55
-
(2002)
IEEE Computer
, vol.35
, Issue.2
, pp. 59-67
-
-
Austin, T.1
Larson, E.2
Ernst, D.3
-
8
-
-
33749079198
-
BioPerf: A benchmark suite to evaluate high-performance computer architecture on bioinformatics applications
-
DOI 10.1109/IISWC.2005.1526013, 1526013, Proceedings of the 2005 IEEE International Symposium on Workload Characterization, IISWC-2005
-
D. A. Bader, Y. Li, T. Li, and V. Sachdeva. BioPerf: A benchmark suite to evaluate high-performance computer architecture on bioinformatics applications. In Proceedings of the 2005 IEEE International Symposium on Workload Characterization (IISWC), pages 163-173, October 2005. DOI: 10.1109/IISWC.2005. 1526013 16 (Pubitemid 44460147)
-
(2005)
Proceedings of the 2005 IEEE International Symposium on Workload Characterization, IISWC-2005
, vol.2005
, pp. 163-173
-
-
Bader, D.A.1
Li, Y.2
Li, T.3
Sachdeva, V.4
-
10
-
-
33744497844
-
Accelerating multiprocessor simulation with a memory timestamp record
-
March DOI: 10.1109/ISPASS.2005.1430560, 76 78
-
K. C. Barr, H. Pan, M. Zhang, and K. Asanovic. Accelerating multiprocessor simulation with a memory timestamp record. In Proceedings of the 2005 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 66-77, March 2005. DOI: 10.1109/ISPASS.2005.1430560 76, 78
-
(2005)
Proceedings of the 2005 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 66-77
-
-
Barr, K.C.1
Pan, H.2
Zhang, M.3
Asanovic, K.4
-
11
-
-
0032681099
-
An integrated functional performance simulator
-
May/June DOI: 10.1109/40.768499 55
-
C. Bechem, J. Combs, N. Utamaphetai, B. Black, R. D. Shawn Blanton, and J. P. Shen. An integrated functional performance simulator. IEEE Micro, 19(3):26-35, May/June 1999. DOI: 10.1109/40.768499 55
-
(1999)
IEEE Micro
, vol.19
, Issue.3
, pp. 26-35
-
-
Bechem, C.1
Combs, J.2
Utamaphetai, N.3
Black, B.4
Shawn Blanton, R.D.5
Shen., J.P.6
-
15
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
October DOI: 10.1145/1454115.1454128 16
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 72-81, October 2008. DOI: 10.1145/1454115.1454128 16
-
(2008)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
16
-
-
33846535493
-
The M5 simulator: Modeling networked systems
-
DOI 10.1109/MM.2006.82
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Rein-hardt. The M5 simulator: Modeling networked systems. IEEE Micro, 26(4):52-60, 2006. DOI: 10.1109/MM.2006.82 54, 55, 61 (Pubitemid 46504889)
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
18
-
-
33750426693
-
The dacapo benchmarks: Java benchmarking development and analysis
-
October 16, 27, 105
-
S.M.Blackburn,R.Garner,C.Hoffmann,A.M.Khan,K.S.McKinley,R.Bentzur,A. Diwan, D. Feinberg,D. Frampton, S. Z. Guyer, M. Hirzel, A.L. Hosking, M. Jump, H. B. Lee, J.Eliot B.Moss,A.Phansalkar,D.Stefanovic,T.VanDrunen,D.von Dincklage,andB.Wiedermann. The dacapo benchmarks: Java benchmarking development and analysis. In Proceedings of the Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages and Applications (OOPSLA), pages 169-190, October 2006. 16, 27, 105
-
(2006)
Proceedings of the Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages and Applications (OOPSLA)
, pp. 169-190
-
-
Blackburn, S.M.1
Garner, R.2
Hoffmann, C.3
Khan, A.M.4
McKinley, K.S.5
Bentzur, R.6
Diwan, A.7
Feinberg, D.8
Frampton, D.9
Guyer, S.Z.10
Hirzel, M.11
Hosking, A.L.12
Jump, M.13
Lee, H.B.14
Eliot, J.15
Moss, B.16
Phansalkar, A.17
Stefanovic, D.18
Van Drunen, T.19
Von Dincklageand, D.20
Wiedermann, B.21
more..
-
19
-
-
27544478808
-
Mambo: A full system simulator for the PowerPC architecture
-
March DOI: 10.1145/1054907.1054910 54
-
P. Bohrer, J. Peterson, M. Elnozahy, R. Rajamony, A. Gheith, R. Rockhold, C. Lefurgy, H. Shafi, T. Nakra, R. Simpson, E. Speight, K. Sudeep, E. Van Hensbergen, and L. Zhang. Mambo: a full system simulator for the PowerPC architecture. ACM SIGMETRICS Performance Evaluation Review, 31(4):8-12, March 2004. DOI: 10.1145/1054907.1054910 54
-
(2004)
ACM SIGMETRICS Performance Evaluation Review
, vol.31
, Issue.4
, pp. 8-12
-
-
Bohrer, P.1
Peterson, J.2
Elnozahy, M.3
Rajamony, R.4
Gheith, A.5
Rockhold, R.6
Lefurgy, C.7
Shafi, H.8
Nakra, T.9
Simpson, R.10
Speight, E.11
Sudeep, K.12
Van Hensbergen, E.13
Zhang, L.14
-
22
-
-
28244491578
-
The VPC trace-compression algorithms
-
November DOI: 10.1109/TC.2005.186, 54
-
M. Burtscher, I. Ganusov, S. J. Jackson, J. Ke, P. Ratanaworabhan, and N. B. Sam. The VPC trace-compression algorithms. IEEE Transactions on Computers, 54(11):1329-1344, November 2005. DOI: 10.1109/TC.2005.186 54
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.11
, pp. 1329-1344
-
-
Burtscher, M.1
Ganusov, I.2
Jackson, S.J.3
Ke, J.4
Ratanaworabhan, P.5
Sam, N.B.6
-
24
-
-
21244474546
-
Predicting inter-thread cache contention on a chip multi-processor architecture
-
Proceedings - 11th International Symposium on High-Performance Computer Architecture, HPCA-11 2005
-
D. Chandra, F. Guo, S. Kim, and Y. Solihin. Predicting inter-thread cache contention on a chip-multiprocessor architecture. In Proceedings of the Eleventh International Symposium on High Performance Computer Architecture (HPCA), pages 340-351, February 2005. 7, 91, 93 (Pubitemid 41731513)
-
(2005)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 340-351
-
-
Chandra, D.1
Guo, F.2
Kim, S.3
Solihin, Y.4
-
26
-
-
77958075524
-
SlackSim: A platform for parallel simulation of CMPs on CMPs
-
20-29 May DOI: 10.1145/1577129.1577134 97, 100
-
J. Chen, M. Annavaram, and M. Dubois. SlackSim: A platform for parallel simulation of CMPs on CMPs. ACM SIGARCH Computer Architecture News, 37(2):20-29, May 2009. DOI: 10.1145/1577129.1577134 97, 100
-
(2009)
ACM SIGARCH Computer Architecture News
, vol.37
, Issue.2
-
-
Chen, J.1
Annavaram, M.2
Dubois, M.3
-
28
-
-
4243073044
-
Parallel simulation of chip-multiprocessor architectures
-
July DOI: 10.1145/643114.643116 100
-
M. Chidester and A. George. Parallel simulation of chip-multiprocessor architectures. ACM Transactions on Modeling and Computer Simulation, 12(3):176-200, July 2002. DOI: 10.1145/643114.643116 100
-
(2002)
ACM Transactions on Modeling and Computer Simulation
, vol.12
, Issue.3
, pp. 176-200
-
-
Chidester, M.1
George, A.2
-
29
-
-
75449097194
-
Accurate functional-first multicore simulators
-
July DOI: 10.1109/L-CA.2009.44 57 102
-
D. Chiou, H. Angepat, N. A. Patil, and D. Sunwoo. Accurate functional-first multicore simulators. IEEE Computer Architecture Letters, 8(2):64-67, July 2009. DOI: 10.1109/L-CA.2009.44 57, 102
-
(2009)
IEEE Computer Architecture Letters
, vol.8
, Issue.2
, pp. 64-67
-
-
Chiou, D.1
Angepat, H.2
Patil, N.A.3
Sunwoo, D.4
-
30
-
-
47349112481
-
FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators
-
December 61, 62, 102
-
D. Chiou, D. Sunwoo, J. Kim, N. A. Patil, W. Reinhart, D. E. Johnson, J. Keefe, and H. Angepat. FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 249-261, December 2007. 61, 62, 102
-
(2007)
Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 249-261
-
-
Chiou, D.1
Sunwoo, D.2
Kim, J.3
Patil, N.A.4
Reinhart, W.5
Johnson, D.E.6
Keefe, J.7
Angepat, H.8
-
31
-
-
4644226058
-
Microarchitecture optimizations for exploiting memory-level parallelism
-
June 43, 88
-
Y. Chou, B. Fahs, and S. Abraham. Microarchitecture optimizations for exploiting memory-level parallelism. In Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA), pages 76-87, June 2004. 43, 88
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA)
, pp. 76-87
-
-
Chou, Y.1
Fahs, B.2
Abraham, S.3
-
32
-
-
77952560029
-
ProtoFlex: Towards scalable, full-system multiprocessor simulations using FPGAs
-
June 102, Article 15
-
E. S.Chung, M.K. Papamichael, E.Nurvitadhi, J. C.Hoe, K.Mai, and B.Falsafi. ProtoFlex: Towards scalable, full-system multiprocessor simulations using FPGAs. ACM Transactions on Reconfigurable Technology and Systems, 2(2), June 2009. Article 15. 102
-
(2009)
ACM Transactions on Reconfigurable Technology and Systems
, vol.2
, Issue.2
-
-
Chung, E.S.1
Papamichael, M.K.2
Nurvitadhi, E.3
Hoe, J.C.4
Mai, K.5
Falsafi, B.6
-
33
-
-
0038008203
-
MisSPECulation: Partial and misleading use of SPEC CPU2000 in computer architecture conferences
-
June DOI: 10.1109/ISCA.2003.1206988, 17
-
D. Citron. MisSPECulation: Partial and misleading use of SPEC CPU2000 in computer architecture conferences. In Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA), pages 52-59, June 2003. DOI: 10.1109/ISCA.2003.1206988 17
-
(2003)
Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA)
, pp. 52-59
-
-
Citron, D.1
-
35
-
-
1842860791
-
Combining trace sampling with single pass methods for efficient cache simulation
-
June DOI: 10.1109/12.689650 54, 76
-
T. M. Conte, M. A. Hirsch, and W. W. Hwu. Combining trace sampling with single pass methods for efficient cache simulation. IEEE Transactions on Computers, 47(6):714-720, June 1998. DOI: 10.1109/12.689650 54, 76
-
(1998)
IEEE Transactions on Computers
, vol.47
, Issue.6
, pp. 714-720
-
-
Conte, T.M.1
Hirsch, M.A.2
Hwu, W.W.3
-
36
-
-
0030402384
-
Reducing state loss for effective trace sampling of superscalar processors
-
October DOI: 10.1109/ICCD.1996.563595 64, 76
-
T. M. Conte, M. A. Hirsch, and K. N. Menezes. Reducing state loss for effective trace sampling of superscalar processors. In Proceedings of the International Conference on Computer Design (ICCD), pages 468-477, October 1996. DOI: 10.1109/ICCD.1996.563595 64, 76
-
(1996)
Proceedings of the International Conference on Computer Design (ICCD)
, pp. 468-477
-
-
Conte, T.M.1
Hirsch, M.A.2
Menezes, K.N.3
-
42
-
-
1842871850
-
Profile-driven sampled trace generation
-
IBM Research Division, T. J. Watson Research Center April 70
-
P. K. Dubey and R. Nair. Profile-driven sampled trace generation. Technical Report RC 20041, IBM Research Division, T. J. Watson Research Center, April 1995. 70
-
(1995)
Technical Report RC 20041
-
-
Dubey, P.K.1
Nair, R.2
-
45
-
-
4644258856
-
Control flow modeling in statistical simulation for accurate and efficient processor design studies
-
June 87
-
L. Eeckhout, R. H. Bell Jr., B. Stougie, K. De Bosschere, and L. K. John. Control flow modeling in statistical simulation for accurate and efficient processor design studies. In Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA), pages 350-361, June 2004. 87
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA)
, pp. 350-361
-
-
Eeckhout, L.1
Bell Jr., R.H.2
Stougie, B.3
De Bosschere, K.4
John, L.K.5
-
47
-
-
84962142451
-
Performance analysis through synthetic trace generation
-
April 86,88
-
L. Eeckhout, K. De Bosschere, and H. Neefs. Performance analysis through synthetic trace generation. In The IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 1-6, April 2000. 86, 88
-
(2000)
The IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 1-6
-
-
Eeckhout, L.1
De Bosschere, K.2
Neefs, H.3
-
48
-
-
1442337578
-
How Java programs interact with virtual machines at the microarchitectural level
-
October 27, 105
-
L. Eeckhout, A. Georges, and K. De Bosschere. How Java programs interact with virtual machines at the microarchitectural level. In Proceedings of the 18th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Languages, Applications and Systems (OOPSLA), pages 169-186, October 2003. 27, 105
-
(2003)
Proceedings of the 18th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Languages, Applications and Systems (OOPSLA)
, pp. 169-186
-
-
Eeckhout, L.1
Georges, A.2
De Bosschere, K.3
-
49
-
-
25844526328
-
BLRL: Accurate and efficient warmup for sampled processor simulation
-
DOI 10.1093/comjnl/bxh103
-
L. Eeckhout, Y. Luo, K. De Bosschere, and L. K. John. BLRL: Accurate and efficient warmup for sampled processor simulation. The Computer Journal, 48(4):451-459, May 2005. DOI: 10.1093/comjnl/bxh103 75 (Pubitemid 41386602)
-
(2005)
Computer Journal
, vol.48
, Issue.4
, pp. 451-459
-
-
Eeckhout, L.1
Luo, Y.2
De Bosschere, K.3
John, L.K.4
-
50
-
-
0242577987
-
Statistical simulation: Adding efficiency to the computer designer's toolbox
-
Sept/Oct DOI: 10.1109/MM.2003.1240210, 81
-
L. Eeckhout, S. Nussbaum, J. E. Smith, and K. De Bosschere. Statistical simulation: Adding efficiency to the computer designer's toolbox. IEEE Micro, 23(5):26-38, Sept/Oct 2003. DOI: 10.1109/MM.2003.1240210 81
-
(2003)
IEEE Micro
, vol.23
, Issue.5
, pp. 26-38
-
-
Eeckhout, L.1
Nussbaum, S.2
Smith, J.E.3
De Bosschere, K.4
-
51
-
-
33749073811
-
Exploiting program microarchitecture independent characteristics and phase behavior for reduced benchmark suite simulation
-
DOI 10.1109/IISWC.2005.1525996, 1525996, Proceedings of the 2005 IEEE International Symposium on Workload Characterization, IISWC-2005
-
L. Eeckhout, J. Sampson, and B. Calder. Exploiting program microarchitecture independent characteristics and phase behavior for reduced benchmark suite simulation. In Proceedings of the 2005 IEEE International Symposium on Workload Characterization (IISWC), pages 2-12, October 2005. DOI: 10.1109/IISWC.2005.1525996 27, 70 (Pubitemid 44460130)
-
(2005)
Proceedings of the 2005 IEEE International Symposium on Workload Characterization, IISWC-2005
, vol.2005
, pp. 2-12
-
-
Eeckhout, L.1
Sampson, J.2
Calder, B.3
-
52
-
-
0037325558
-
Designing workloads for computer architecture research
-
February 27
-
L. Eeckhout, H. Vandierendonck, and K. De Bosschere. Designing workloads for computer architecture research. IEEE Computer, 36(2):65-71, February 2003. 27
-
(2003)
IEEE Computer
, vol.36
, Issue.2
, pp. 65-71
-
-
Eeckhout, L.1
Vandierendonck, H.2
De Bosschere, K.3
-
53
-
-
1442333868
-
Quantifying the impact of input data sets on program behavior and its applications
-
February 18, 25
-
L. Eeckhout, H. Vandierendonck, and K. De Bosschere. Quantifying the impact of input data sets on program behavior and its applications. Journal of Instruction-Level Parallelism, 5, February 2003. http://www.jilp.org/vol5. 18, 25
-
(2003)
Journal of Instruction-Level Parallelism
, vol.5
-
-
Eeckhout, L.1
Vandierendonck, H.2
De Bosschere, K.3
-
54
-
-
33744483411
-
Enhancing multiprocessor architecture simulation speed using matched-pair comparison
-
DOI 10.1109/ISPASS.2005.1430562, 1430562, ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
-
M. Ekman and P. Stenström. Enhancing multiprocessor architecture simulation speed using matched-pair comparison. In Proceedings of the 2005 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 89-99, March 2005. DOI: 10.1109/ISPASS.2005.1430562 70, 79 (Pubitemid 43804306)
-
(2005)
ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
, vol.2005
, pp. 89-99
-
-
Ekman, M.1
Stenstrom, P.2
-
57
-
-
0036470119
-
Asim: A performance model framework
-
February 55, 56, 61
-
J. Emer, P. Ahuja, E. Borch, A. Klauser, C.-K. Luk, S. Manne, S. S. Mukherjee, H. Patil, S. Wallace, N. Binkert, R. Espasa, and T. Juan. Asim: A performance model framework. IEEE Computer, 35(2):68-76, February 2002. 55, 56, 61
-
(2002)
IEEE Computer
, vol.35
, Issue.2
, pp. 68-76
-
-
Emer, J.1
Ahuja, P.2
Borch, E.3
Klauser, A.4
Luk, C.-K.5
Manne, S.6
Mukherjee, S.S.7
Patil, H.8
Wallace, S.9
Binkert, N.10
Espasa, R.11
Juan, T.12
-
58
-
-
77954907509
-
AWB:The Asim architect's workbench
-
June 61
-
J.Emer,C.Beckmann,and M.Pellauer. AWB:The Asim architect's workbench. In Proceedings of the Third Annual Workshop on Modeling, Benchmarking and Simulation (MoBS), held in conjunction with ISCA, June 2007. 61
-
(2007)
Proceedings of the Third Annual Workshop on Modeling, Benchmarking and Simulation (MoBS), Held in Conjunction with ISCA
-
-
Emer, J.1
Beckmann, C.2
Pellauer, M.3
-
60
-
-
0031140923
-
Understanding some simple processor-performance limits
-
May DOI: 10.1147/rd.413.0215 6
-
P. G. Emma. Understanding some simple processor-performance limits. IBM Journal of Research and Development, 41(3):215-232, May 1997. DOI: 10.1147/rd.413.0215 6
-
(1997)
IBM Journal of Research and Development
, vol.41
, Issue.3
, pp. 215-232
-
-
Emma, P.G.1
-
61
-
-
47249094055
-
System-level performance metrics for multi-program workloads
-
May/June DOI: 10.1109/MM.2008.44 8, 11
-
S. Eyerman and L. Eeckhout. System-level performance metrics for multi-program workloads. IEEE Micro, 28(3):42-53, May/June 2008. DOI: 10.1109/MM.2008.44 8, 11
-
(2008)
IEEE Micro
, vol.28
, Issue.3
, pp. 42-53
-
-
Eyerman, S.1
Eeckhout, L.2
-
63
-
-
34249813667
-
A performance counter architecture for computing accurate CPI components
-
October 45
-
S. Eyerman, L. Eeckhout,T. Karkhanis, and J. E. Smith. A performance counter architecture for computing accurate CPI components. In Proceedings ofTheTwelfth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 175-184, October 2006. 45
-
(2006)
Proceedings OfTheTwelfth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 175-184
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
64
-
-
67650312346
-
A mechanistic performance model for superscalar out-of-order processors
-
May 38, 44, 45
-
S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith. A mechanistic performance model for superscalar out-of-order processors. ACM Transactions on Computer Systems (TOCS), 27(2), May 2009. 38, 44, 45
-
(2009)
ACM Transactions on Computer Systems (TOCS)
, vol.27
, Issue.2
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
67
-
-
0030712794
-
Modeling cost/performance of a parallel computer simulator
-
January DOI: 10.1145/244804.244808, 100
-
B. Falsafi and D. A. Wood. Modeling cost/performance of a parallel computer simulator. ACM Transactions on Modeling and Computer Simulation (TOMACS), 7(1):104-130, January 1997. DOI: 10.1145/244804.244808 100
-
(1997)
ACM Transactions on Modeling and Computer Simulation (TOMACS)
, vol.7
, Issue.1
, pp. 104-130
-
-
Falsafi, B.1
Wood, D.A.2
-
68
-
-
0022681148
-
How not to lie with statistics: The correct way to summarize benchmark results
-
March DOI: 10.1145/5666.5673, 11
-
P. J. Fleming and J. J. Wallace. How not to lie with statistics: The correct way to summarize benchmark results. Communications of the ACM, 29(3):218-221, March 1986. DOI: 10.1145/5666.5673 11
-
(1986)
Communications of the ACM
, vol.29
, Issue.3
, pp. 218-221
-
-
Fleming, P.J.1
Wallace, J.J.2
-
69
-
-
84976676590
-
Parallel discrete event simulation
-
October DOI: 10.1145/84537.84545, 99
-
R. M.Fujimoto. Parallel discrete event simulation. Communications of the ACM,33(10):30-53, October 1990. DOI: 10.1145/84537.84545 99
-
(1990)
Communications of the ACM
, vol.33
, Issue.10
, pp. 30-53
-
-
Fujimoto, R.M.1
-
71
-
-
36849017400
-
Memory data flow modeling in statistical simulation for the efficient exploration of microprocessor design spaces
-
January 88
-
D. Genbrugge and L. Eeckhout. Memory data flow modeling in statistical simulation for the efficient exploration of microprocessor design spaces. IEEE Transactions on Computers, 57(10):41-54, January 2007. 88
-
(2007)
IEEE Transactions on Computers
, vol.57
, Issue.10
, pp. 41-54
-
-
Genbrugge, D.1
Eeckhout, L.2
-
72
-
-
74549142310
-
Chip multiprocessor design space exploration through statistical simulation
-
December DOI: 10.1109/TC.2009.77 90, 91
-
D. Genbrugge and L. Eeckhout. Chip multiprocessor design space exploration through statistical simulation. IEEE Transactions on Computers, 58(12):1668-1681, December 2009. DOI: 10.1109/TC.2009.77 90, 91
-
(2009)
IEEE Transactions on Computers
, vol.58
, Issue.12
, pp. 1668-1681
-
-
Genbrugge, D.1
Eeckhout, L.2
-
74
-
-
42149194967
-
Statistically rigorous java performance evaluation
-
October 105
-
A. Georges, D. Buytaert, and L. Eeckhout. Statistically rigorous java performance evaluation. In Proceedings of the Annual ACM SIGPLAN Conference on Object-Oriented Programming, Languages, Applications and Systems (OOPSLA), pages 57-76, October 2007. 105
-
(2007)
Proceedings of the Annual ACM SIGPLAN Conference on Object-Oriented Programming, Languages, Applications and Systems (OOPSLA)
, pp. 57-76
-
-
Georges, A.1
Buytaert, D.2
Eeckhout, L.3
-
75
-
-
33646184191
-
DiST: A simple, reliable and scalable method to significantly reduce processor architecture simulation time
-
June DOI: 10.1145/781027.781029, 95
-
S. Girbal, G. Mouchard, A. Cohen, and O. Temam. DiST: A simple, reliable and scalable method to significantly reduce processor architecture simulation time. In Proceedings of the 2003 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, pages 1-12, June 2003. DOI: 10.1145/781027.781029 95
-
(2003)
Proceedings of the 2003 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems
, pp. 1-12
-
-
Girbal, S.1
Mouchard, G.2
Cohen, A.3
Temam, O.4
-
79
-
-
84971355456
-
Accelerated warmup for sampled microarchitecture simulation
-
March DOI: 10.1145/1061267.1061272, 75
-
J. W. Haskins Jr. and K. Skadron. Accelerated warmup for sampled microarchitecture simulation. ACM Transactions on Architecture and Code Optimization (TACO), 2(1):78-108, March 2005. DOI: 10.1145/1061267.1061272 75
-
(2005)
ACM Transactions on Architecture and Code Optimization (TACO)
, vol.2
, Issue.1
, pp. 78-108
-
-
Haskins Jr., J.W.1
Skadron, K.2
-
81
-
-
0034226001
-
SPEC CPU2000: Measuring CPU performance in the new millennium
-
July 17
-
J. L. Henning. SPEC CPU2000: Measuring CPU performance in the new millennium. IEEE Computer, 33(7):28-35, July 2000. 17
-
(2000)
IEEE Computer
, vol.33
, Issue.7
, pp. 28-35
-
-
Henning, J.L.1
-
82
-
-
48249118853
-
Amdahl's law in the multicore era
-
July 31
-
M. D.Hill and M. R. Marty. Amdahl's law in the multicore era. IEEE Computer,41(7):33-38, July 2008. 31
-
(2008)
IEEE Computer
, vol.41
, Issue.7
, pp. 33-38
-
-
Hill, M.D.1
Marty, M.R.2
-
83
-
-
0024903997
-
Evaluating associativity in CPU caches
-
DOI 10.1109/12.40842
-
M. D. Hill and A. J. Smith. Evaluating associativity in CPU caches. IEEE Transactions on Computers, 38(12):1612-1630, December 1989. DOI: 10.1109/12.40842 54, 87 (Pubitemid 20642724)
-
(1989)
IEEE Transactions on Computers
, vol.38
, Issue.12
, pp. 1612-1630
-
-
Hill Mark, D.1
Smith Alan Jay2
-
84
-
-
70450231944
-
An analytical model for a GPU architecture with memory-level and thread-level parallelism awareness
-
June 46
-
S. Hong and H. Kim. An analytical model for a GPU architecture with memory-level and thread-level parallelism awareness. In Proceedings of the International Symposium on Computer Architecture (ISCA), pages 152-163, June 2008. 46
-
(2008)
Proceedings of the International Symposium on Computer Architecture (ISCA)
, pp. 152-163
-
-
Hong, S.1
Kim, H.2
-
85
-
-
34548329985
-
Microarchitecture-independent workload characterization
-
DOI 10.1109/MM.2007.56
-
K. Hoste and L. Eeckhout. Microarchitecture-independent workload characterization. IEEE Micro, 27(3):63-72, May 2007. DOI: 10.1109/MM.2007.56 20, 23 (Pubitemid 47337548)
-
(2007)
IEEE Micro
, vol.27
, Issue.3
, pp. 63-72
-
-
Hoste, K.1
Eeckhout, L.2
-
86
-
-
0032204476
-
Micro-processor power estimation using profile-driven program synthesis
-
November DOI: 10.1109/43.736182, 93
-
C. Hsieh and M. Pedram. Micro-processor power estimation using profile-driven program synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 17(11):1080-1089, November 1998. DOI: 10.1109/43.736182 93
-
(1998)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.17
, Issue.11
, pp. 1080-1089
-
-
Hsieh, C.1
Pedram, M.2
-
87
-
-
56449115895
-
Accelerating multi-core processor design space evaluation using automatic multi-threaded workload synthesis
-
September DOI: 10.1109/IISWC.2008.4636101, 81, 92
-
C. Hughes and T. Li. Accelerating multi-core processor design space evaluation using automatic multi-threaded workload synthesis. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pages 163-172, September 2008. DOI: 10.1109/IISWC.2008.4636101 81, 92
-
(2008)
Proceedings of the IEEE International Symposium on Workload Characterization (IISWC)
, pp. 163-172
-
-
Hughes, C.1
Li, T.2
-
88
-
-
0036470602
-
Rsim: Simulating shared-memory multiprocessors with ILP processors
-
February 55
-
C. J. Hughes, V. S. Pai, P. Ranganathan, and S. V. Adve. Rsim: Simulating shared-memory multiprocessors with ILP processors. IEEE Computer, 35(2):40-49, February 2002. 55
-
(2002)
IEEE Computer
, vol.35
, Issue.2
, pp. 40-49
-
-
Hughes, C.J.1
Pai, V.S.2
Ranganathan, P.3
Adve, S.V.4
-
89
-
-
34547417098
-
Efficiently exploring architectural design spaces via predictive modeling
-
October 36
-
E. Ipek, S. A. McKee, B. R. de Supinski, M. Schulz, and R. Caruana. Efficiently exploring architectural design spaces via predictive modeling. In Proceedings of the Twelfth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 195-206, October 2006. 36
-
(2006)
Proceedings of the Twelfth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 195-206
-
-
Ipek, E.1
McKee, S.A.2
De Supinski, B.R.3
Schulz, M.4
Caruana, R.5
-
90
-
-
0006637419
-
Evaluation and generation of reduced traces for benchmarks
-
IBM Research Division, T. J. Watson Research Center October 93
-
V. S. Iyengar and L. H. Trevillyan. Evaluation and generation of reduced traces for benchmarks. Technical Report RC 20610, IBM Research Division, T. J. Watson Research Center, October 1996. 93
-
(1996)
Technical Report RC 20610
-
-
Iyengar, V.S.1
Trevillyan, L.H.2
-
91
-
-
0029700388
-
Representative traces for processor models with infinite cache
-
February DOI: 10.1109/HPCA.1996.501174 70, 93
-
V. S. Iyengar, L. H. Trevillyan, and P. Bose. Representative traces for processor models with infinite cache. In Proceedings of the Second International Symposium on High-Performance Computer Architecture (HPCA), pages 62-73, February 1996. DOI: 10.1109/HPCA.1996.501174 70, 93
-
(1996)
Proceedings of the Second International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 62-73
-
-
Iyengar, V.S.1
Trevillyan, L.H.2
Bose, P.3
-
93
-
-
28444484731
-
More on finding a single number to indicate overall performance of a benchmark suite
-
1-14 September 11, 12
-
L. K. John. More on finding a single number to indicate overall performance of a benchmark suite. ACM SIGARCH Computer Architecture News, 32(4):1-14, September 2004. 11, 12
-
(2004)
ACM SIGARCH Computer Architecture News
, vol.32
, Issue.4
-
-
John, L.K.1
-
94
-
-
77954934077
-
-
L. K. John and L. Eeckhout, editors. CRC Press, Taylor and Francis
-
L. K. John and L. Eeckhout, editors. Performance Evaluation and Benchmarking. CRC Press, Taylor and Francis, 2006. xi
-
(2006)
Performance Evaluation and Benchmarking
-
-
-
95
-
-
0035248152
-
Lossless trace compression
-
DOI 10.1109/12.908991
-
E. E. Johnson, J. Ha, and M. B. Zaidi. Lossless trace compression. IEEE Transactions on Computers, 50(2):158-173, February 2001. DOI: 10.1109/12.908991 54 (Pubitemid 32286559)
-
(2001)
IEEE Transactions on Computers
, vol.50
, Issue.2
, pp. 158-173
-
-
Johnson, E.E.1
Ha, J.2
Zaidi, M.B.3
-
97
-
-
33748863916
-
Construction and use of linear regression models for processor performance analysis
-
February 32, 34, 35
-
P. J. Joseph, K. Vaswani, and M. J. Thazhuthaveetil. Construction and use of linear regression models for processor performance analysis. In Proceedings of the 12th International Symposium on High-Performance Computer Architecture (HPCA), pages 99-108, February 2006. 32, 34, 35
-
(2006)
Proceedings of the 12th International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 99-108
-
-
Joseph, P.J.1
Vaswani, K.2
Thazhuthaveetil, M.J.3
-
99
-
-
33646486530
-
Measuring benchmark similarity using inherent program characteristics
-
June DOI: 10.1109/TC.2006.85 23, 62
-
A. Joshi, A. Phansalkar, L. Eeckhout, and L. K. John. Measuring benchmark similarity using inherent program characteristics. IEEE Transactions on Computers,55(6):769-782, June 2006. DOI: 10.1109/TC.2006.85 23, 62
-
(2006)
IEEE Transactions on Computers,55
, vol.6
, pp. 769-782
-
-
Joshi, A.1
Phansalkar, A.2
Eeckhout, L.3
John, L.K.4
-
100
-
-
51149119096
-
Distilling the essence of proprietary workloads into miniature benchmarks
-
August 93
-
A. M. Joshi, L. Eeckhout, R. Bell, Jr., and L. K. John. Distilling the essence of proprietary workloads into miniature benchmarks. ACM Transactions on Architecture and Code Optimization (TACO), 5(2), August 2008. 93
-
(2008)
ACM Transactions on Architecture and Code Optimization (TACO)
, vol.5
, Issue.2
-
-
Joshi, A.M.1
Eeckhout, L.2
Bell Jr., R.3
John, L.K.4
-
101
-
-
57749210436
-
Automated microprocessor stressmark generation
-
February 84, 93
-
A. M. Joshi, L. Eeckhout, L. K. John, and C. Isen. Automated microprocessor stressmark generation. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), pages 229-239, February 2008. 84, 93
-
(2008)
Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 229-239
-
-
Joshi, A.M.1
Eeckhout, L.2
John, L.K.3
Isen, C.4
-
105
-
-
0031594019
-
Performance characterization of a quad Pentium Pro SMP using OLTP workloads
-
June 15
-
K. Keeton, D. A. Patterson,Y.Q.He, R.C. Raphael, and W. E.Baker. Performance characterization of a quad Pentium Pro SMP using OLTP workloads. In Proceedings of the International Symposium on Computer Architecture (ISCA), pages 15-26, June 1998. 15
-
(1998)
Proceedings of the International Symposium on Computer Architecture (ISCA)
, pp. 15-26
-
-
Keeton, K.1
Patterson, D.A.2
He, Y.Q.3
Raphael, R.C.4
Baker., W.E.5
-
106
-
-
0028445155
-
A comparison of trace-sampling techniques for multi-megabyte caches
-
June DOI: 10.1109/12.286300 74, 75
-
R. E. Kessler, M. D. Hill, and D. A. Wood. A comparison of trace-sampling techniques for multi-megabyte caches. IEEE Transactions on Computers, 43(6):664-675, June 1994. DOI: 10.1109/12.286300 74, 75
-
(1994)
IEEE Transactions on Computers
, vol.43
, Issue.6
, pp. 664-675
-
-
Kessler, R.E.1
Hill, M.D.2
Wood., D.A.3
-
108
-
-
20344374162
-
Niagara: A 32-way multithreaded SPARC processor
-
March/April DOI: 10.1109/MM.2005.35, 7
-
P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-way multithreaded SPARC processor. IEEE Micro, 25(2):21-29, March/April 2005. DOI: 10.1109/MM.2005.35 7
-
(2005)
IEEE Micro
, vol.25
, Issue.2
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun., K.3
-
111
-
-
0018030656
-
Performance evaluation of highly concurrent computers by deterministic simulation
-
November DOI: 10.1145/359642.359646, 81
-
B. Kumar and E. S. Davidson. Performance evaluation of highly concurrent computers by deterministic simulation. Communications of the ACM, 21(11):904-913, November 1978. DOI: 10.1145/359642.359646 81
-
(1978)
Communications of the ACM
, vol.21
, Issue.11
, pp. 904-913
-
-
Kumar, B.1
Davidson., E.S.2
-
113
-
-
0024107186
-
Accurate low-cost methods for performance evaluation of cache memory systems
-
November DOI: 10.1109/12.8699, 64
-
S. Laha, J. H. Patel, and R. K. Iyer. Accurate low-cost methods for performance evaluation of cache memory systems. IEEE Transactions on Computers, 37(11):1325-1336, November 1988. DOI: 10.1109/12.8699 64
-
(1988)
IEEE Transactions on Computers
, vol.37
, Issue.11
, pp. 1325-1336
-
-
Laha, S.1
Patel, J.H.2
Iyer., R.K.3
-
116
-
-
33744474064
-
The strong correlation between code signatures and performance
-
March DOI: 10.1109/ISPASS.2005.1430578, 68
-
J. Lau, J. Sampson, E. Perelman, G. Hamerly, and B. Calder. The strong correlation between code signatures and performance. In Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 236-247, March 2005. DOI: 10.1109/ISPASS.2005.1430578 68
-
(2005)
Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 236-247
-
-
Lau, J.1
Sampson, J.2
Perelman, E.3
Hamerly, G.4
Calder., B.5
-
120
-
-
77957818268
-
Efficiency trends and limits from comprehensive microarchitectural adaptivity
-
March DOI: 10.1145/1346281.1346288, 31, 36, 104
-
B. Lee and D. Brooks. Efficiency trends and limits from comprehensive microarchitectural adaptivity. In Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 36-47, March 2008. DOI: 10.1145/1346281.1346288 31, 36, 104
-
(2008)
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 36-47
-
-
Lee, B.1
Brooks., D.2
-
121
-
-
34748909426
-
Methods of inference and learning for performance modeling of parallel applications
-
March 36
-
B. Lee, D. Brooks, Bronis R. de Supinski, M. Schulz, K. Singh, and S. A. McKee. Methods of inference and learning for performance modeling of parallel applications. In Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPOPP), pages 249-258, March 207. 36
-
Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPOPP)
, vol.207
, pp. 249-258
-
-
Lee, B.1
Brooks, D.2
De Supinski, B.R.3
Schulz, M.4
Singh, K.5
McKee, S.A.6
-
122
-
-
66749185800
-
CPR: Composable performance regression for scalable multiprocessor models
-
November 36
-
B. Lee, J. Collins, H. Wang, and D. Brooks. CPR: Composable performance regression for scalable multiprocessor models. In Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 270-281, November 2008. 36
-
(2008)
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 270-281
-
-
Lee, B.1
Collins, J.2
Wang, H.3
Brooks., D.4
-
128
-
-
31944440969
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
June DOI: 10.1145/1065010.1065034,1 22, 51
-
C.-K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, G. Lowney, S. Wallace, V. J. Reddi, and K. Hazelwood. Pin: Building customized program analysis tools with dynamic instrumentation. In Proceedings of the ACM SIGPLAN Conference on Programming Languages Design and Implementation (PLDI), pages 190-200, June 2005. DOI: 10.1145/1065010.1065034 22, 51
-
(2005)
Proceedings of the ACM SIGPLAN Conference on Programming Languages Design and Implementation (PLDI)
, pp. 190-200
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
129
-
-
84962144701
-
Balancing throughput and fairness in SMT processors
-
November 9, 10
-
K. Luo, J. Gummaraju, and M. Franklin. Balancing throughput and fairness in SMT processors. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 164-171, November 2001. 9, 10
-
(2001)
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 164-171
-
-
Luo, K.1
Gummaraju, J.2
Franklin., M.3
-
130
-
-
85008034312
-
Efficiently evaluating speedup using sampled processor simulation
-
September 70
-
Y. Luo and L. K. John. Efficiently evaluating speedup using sampled processor simulation. Computer Architecture Letters, 4, September 2004. 70
-
(2004)
Computer Architecture Letters
, vol.4
-
-
Luo, Y.1
John., L.K.2
-
131
-
-
26244457143
-
SMA: A self-monitored adaptive warmup scheme for microprocessor simulation
-
October DOI: 10.1007/s10766-005-7305-9, 75
-
Y. Luo, L. K. John, and L. Eeckhout. SMA: A self-monitored adaptive warmup scheme for microprocessor simulation. International Journal on Parallel Programming, 33(5):561-581, October 2005. DOI: 10.1007/s10766-005-7305-9 75
-
(2005)
International Journal on Parallel Programming
, vol.33
, Issue.5
, pp. 561-581
-
-
Luo, Y.1
John, L.K.2
Eeckhout., L.3
-
132
-
-
0036469676
-
Simics: A full system simulation platform
-
February 53
-
P. S. Magnusson, M. Christensson, Jesper Eskilson, D. Forsgren, G. Hallberg, J. Högberg nad, F. Larsson, A. Moestedt, and B. Werner. Simics: A full system simulation platform. IEEE Computer, 35(2):50-58, February 2002. 53
-
(2002)
IEEE Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
Hallberg, G.5
Högberg Nad, J.6
Larsson, F.7
Moestedt, A.8
Werner., B.9
-
133
-
-
33748870886
-
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset
-
November DOI: 10.1145/1105734.1105747 55, 61
-
M. K. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, K. E. Moore, M. D. Hill, and D. A. Wood. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. ACM SIGARCH Computer Architecture News, 33(4):92-99, November 2005. DOI: 10.1145/1105734.1105747 55, 61
-
(2005)
ACM SIGARCH Computer Architecture News
, vol.33
, Issue.4
, pp. 92-99
-
-
Martin, M.K.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood., D.A.9
-
134
-
-
47349130731
-
War of the benchmark means: Time for a truce
-
September DOI: 10.1145/1040136.1040137 11, 13
-
J. R. Mashey. War of the benchmark means: Time for a truce. ACM SIGARCH Computer Architecture News, 32(4):1-14, September 2004. DOI: 10.1145/1040136.1040137 11, 13
-
(2004)
ACM SIGARCH Computer Architecture News
, vol.32
, Issue.4
, pp. 1-14
-
-
Mashey., J.R.1
-
135
-
-
0014701246
-
Evaluation techniques for storage hierarchies
-
June DOI: 10.1147/sj.92.0078 54, 87
-
R. L. Mattson, J. Gecsei, D. R. Slutz, and I. L. Traiger. Evaluation techniques for storage hierarchies. IBM Systems Journal, 9(2):78-117, June 1970. DOI: 10.1147/sj.92.0078 54, 87
-
(1970)
IBM Systems Journal
, vol.9
, Issue.2
, pp. 78-117
-
-
Mattson, R.L.1
Gecsei, J.2
Slutz, D.R.3
Traiger., I.L.4
-
136
-
-
0036040311
-
Full-system timing-first simulation
-
June DOI: 10.1145/511334.511349, 55, 58
-
C. J. Mauer, M. D. Hill, and D. A. Wood. Full-system timing-first simulation. In Proceedings of the 2002 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, pages 108-116, June 2002. DOI: 10.1145/511334.511349 55, 58
-
(2002)
Proceedings of the 2002 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems
, pp. 108-116
-
-
Mauer, C.J.1
Hill, M.D.2
Wood., D.A.3
-
138
-
-
0033365427
-
Exploring instruction-fetch bandwidth requirement in wide-issue superscalar processors
-
October DOI: 10.1109/PACT.1999.807388, 35, 45
-
P. Michaud, A. Seznec, and S. Jourdan. Exploring instruction-fetch bandwidth requirement in wide-issue superscalar processors. In Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 2-10, October 1999. DOI: 10.1109/PACT.1999.807388 35, 45
-
(1999)
Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 2-10
-
-
Michaud, P.1
Seznec, A.2
Jourdan., S.3
-
140
-
-
77952563226
-
Graphite: A distribuyted parallel simulator for multicores
-
January 97, 100
-
J. E. Miller, H. Kasture, G. Kurian, C. Gruenwald III, N. Beckmann, C. Celio, J. Eastep, and A. Agarwal. Graphite: A distribuyted parallel simulator for multicores. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA), pages 295-306, January 2010. 97, 100
-
(2010)
Proceedings of the International Symposium on High Performance Computer Architecture (HPCA)
, pp. 295-306
-
-
Miller, J.E.1
Kasture, H.2
Kurian, G.3
Gruenwald Iii, C.4
Beckmann, N.5
Celio, C.6
Eastep, J.7
Agarwal, A.8
-
141
-
-
56449127224
-
-
STAMP: Stanford trans-actional applications for multi-processing September DOI: 10.1109/IISWC.2008.4636089, 16
-
C. C. Minh, J. Chung, C. Kozyrakis, and K. Olukotun. STAMP: Stanford trans-actional applications for multi-processing. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pages 35-46, September 2008. DOI: 10.1109/IISWC.2008.4636089 16
-
(2008)
Proceedings of the IEEE International Symposium on Workload Characterization (IISWC)
, pp. 35-46
-
-
Minh, C.C.1
Chung, J.2
Kozyrakis, C.3
Olukotun., K.4
-
142
-
-
0034290427
-
Wisconsin wind tunnel II: A fast, portable parallel architecture simulator
-
DOI 10.1109/4434.895100
-
S. S. Mukherjee, S. K. Reinhardt, B. Falsafi, M. Litzkow, M. D. Hill, D.
-
(2000)
IEEE Concurrency
, vol.8
, Issue.4
, pp. 12-20
-
-
Mukherjee, S.S.1
Reinhardt, S.K.2
Falsafi, B.3
Litzkow, M.4
Hill, M.D.5
Wood, D.A.6
Huss-Lederman, S.7
Larus, J.R.8
-
143
-
-
77954452886
-
Understanding the effects of wrong-path memory references on processor performance
-
June 55
-
O. Mutlu, H. Kim, D. N. Armstrong, and Y. N. Patt. Understanding the effects of wrong-path memory references on processor performance. In Proceedings of the 3rd Workshop on Memory Performance Issues (WMPI) held in conjunction with the 31st International Symposium on Computer Architecture (ISCA), pages 56-64, June 2005. 55
-
(2005)
Proceedings of the 3rd Workshop on Memory Performance Issues (WMPI) Held in Conjunction with the 31st International Symposium on Computer Architecture (ISCA)
, pp. 56-64
-
-
Mutlu, O.1
Kim, H.2
Armstrong, D.N.3
Patt., Y.N.4
-
144
-
-
33750373762
-
Automatic logging of operating system effects to guide application level architecture simulation
-
June 53
-
S. Narayanasamy, C. Pereira, H. Patil, R. Cohn, and B. Calder. Automatic logging of operating system effects to guide application level architecture simulation. In Proceedings of the ACM Sigmetrics International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS), pages 216-227, June 2006. 53
-
(2006)
Proceedings of the ACM Sigmetrics International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS)
, pp. 216-227
-
-
Narayanasamy, S.1
Pereira, C.2
Patil, H.3
Cohn, R.4
Calder., B.5
-
145
-
-
53149144677
-
Empirical versus mechanistic modelling: Comparison of an artificial neural network to a mechanistically based model for quantitative structure pharmacokinetic relationships of a homologous series of barbiturates
-
December 32
-
I. Nestorov, M. Rowland, S. T. Hadjitodorov, and I. Petrov. Empirical versus mechanistic modelling: Comparison of an artificial neural network to a mechanistically based model for quantitative structure pharmacokinetic relationships of a homologous series of barbiturates. The AAPS Journal, 1(4):5-13, December 1999. 32
-
(1999)
The AAPS Journal
, vol.1
, Issue.4
, pp. 5-13
-
-
Nestorov, I.1
Rowland, M.2
Hadjitodorov, S.T.3
Petrov., I.4
-
146
-
-
0030645301
-
Accuracy and speed-up of parallel trace-driven architectural simulation
-
April DOI: 10.1109/IPPS.1997.580842, 95
-
A.-T.Nguyen, P.Bose, K.Ekanadham,A. Nanda, and M. Michael. Accuracy and speed-up of parallel trace-driven architectural simulation. In Proceedings of the 11th International Parallel Processing Symposium (IPPS), pages 39-44, April 1997. DOI: 10.1109/IPPS.1997.580842 95
-
(1997)
Proceedings of the 11th International Parallel Processing Symposium (IPPS)
, pp. 39-44
-
-
Nguyen, A.-T.1
Bose, P.2
Ekanadham, K.3
Nanda, A.4
Michael, M.5
-
147
-
-
0036054365
-
A universal technique for fast and flexible instruction-set architecture simulation
-
June DOI: 10.1145/513918.513927, 72
-
A. Nohl, G. Braun, O. Schliebusch, R. Leupers, and H. Meyr. A universal technique for fast and flexible instruction-set architecture simulation. In Proceedings of the 39th Design Automation Conference (DAC), pages 22-27, June 2002. DOI: 10.1145/513918.513927 72
-
(2002)
Proceedings of the 39th Design Automation Conference (DAC)
, pp. 22-27
-
-
Nohl, A.1
Braun, G.2
Schliebusch, O.3
Leupers, R.4
Meyr., H.5
-
149
-
-
0035177240
-
Modeling superscalar processors via statistical simulation
-
September DOI: 10.1109/PACT.2001.953284, 81, 86, 88
-
S. Nussbaum and J. E. Smith. Modeling superscalar processors via statistical simulation. In Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 15-24, September 2001. DOI: 10.1109/PACT.2001.953284 81, 86, 88
-
(2001)
Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 15-24
-
-
Nussbaum, S.1
Smith., J.E.2
-
150
-
-
52949090653
-
Statistical simulation of symmetric multiprocessor systems
-
April DOI: 10.1109/SIMSYM.2002.1000093, 91
-
S. Nussbaum and J. E. Smith. Statistical simulation of symmetric multiprocessor systems. In Proceedings of the 35th Annual Simulation Symposium 2002, pages 89-97, April 2002. DOI: 10.1109/SIMSYM.2002.1000093 91
-
(2002)
Proceedings of the 35th Annual Simulation Symposium 2002
, pp. 89-97
-
-
Nussbaum, S.1
Smith., J.E.2
-
151
-
-
0030259458
-
The case for a single-chip multiprocessor
-
October 7
-
K. Olukotun, B. A. Nayfeh, L. Hammond, K.Wilson, and K.-Y. Chang. The case for a single-chip multiprocessor. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 2-11, October 1996. 7
-
(1996)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 2-11
-
-
Olukotun, K.1
Nayfeh, B.A.2
Hammond, L.3
Wilson, K.4
Chang, K.-Y.5
-
152
-
-
0033719951
-
HLS: Combining statistical and symbolic simulation to guide microprocessor design
-
June DOI: 10.1145/339647.339656, 81, 83, 86, 88
-
M. Oskin, F.T. Chong, and M. Farrens. HLS: Combining statistical and symbolic simulation to guide microprocessor design. In Proceedings of the 27th Annual International Symposium on Computer Architecture (ISCA), pages 71-82, June 2000. DOI: 10.1145/339647.339656 81, 83, 86, 88
-
(2000)
Proceedings of the 27th Annual International Symposium on Computer Architecture (ISCA)
, pp. 71-82
-
-
Oskin, M.1
Chong, F.T.2
Farrens., M.3
-
153
-
-
21644454187
-
Pinpointing representative portions of large Intel Itanium programs with dynamic instrumentation
-
December 70, 74
-
H. Patil, R. Cohn, M. Charney, R. Kapoor, A. Sun, and A. Karunanidhi. Pinpointing representative portions of large Intel Itanium programs with dynamic instrumentation. In Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO), pages 81-93, December 2004. 70, 74
-
(2004)
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO)
, pp. 81-93
-
-
Patil, H.1
Cohn, R.2
Charney, M.3
Kapoor, R.4
Sun, A.5
Karunanidhi., A.6
-
154
-
-
52249085406
-
Quick performance models quickly: Closely-coupled partitioned simulation on FPGAs
-
April DOI: 10.1109/ISPASS.2008.4510733, 102
-
M. Pellauer, M. Vijayaraghavan, M. Adler, Arvind, and J. S. Emer. Quick performance models quickly: Closely-coupled partitioned simulation on FPGAs. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 1-10, April 2008. DOI: 10.1109/ISPASS.2008. 4510733 102
-
(2008)
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 1-10
-
-
Pellauer, M.1
Vijayaraghavan, M.2
Adler Arvind, M.3
Emer., J.S.4
-
155
-
-
33748872867
-
Exploiting parallelism and structure to accelerate the simulation of chip multi-processors
-
February 97, 102
-
D. A. Penry, D. Fay, D. Hodgdon, R. Wells, G. Schelle, D. I. August, and D. Connors. Exploiting parallelism and structure to accelerate the simulation of chip multi-processors. In Proceedings of the Twelfth International Symposium on High Performance Computer Architecture (HPCA), pages 27-38, February 2006. 97, 102
-
(2006)
Proceedings of the Twelfth International Symposium on High Performance Computer Architecture (HPCA)
, pp. 27-38
-
-
Penry, D.A.1
Fay, D.2
Hodgdon, D.3
Wells, R.4
Schelle, G.5
August, D.I.6
Connors., D.7
-
158
-
-
36949019121
-
Cross binary simulation points
-
March 70
-
E. Perelman, J. Lau, H. Patil, A. Jaleel, G. Hamerly, and B. Calder. Cross binary simulation points. In Proceedings of the Annual International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2007. 70
-
(2007)
Proceedings of the Annual International Symposium on Performance Analysis of Systems and Software (ISPASS)
-
-
Perelman, E.1
Lau, J.2
Patil, H.3
Jaleel, A.4
Hamerly, G.5
Calder., B.6
-
160
-
-
35348913704
-
Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite
-
June 21, 25, 27, 62, 103, 104
-
A. Phansalkar, A. Joshi, and L. K. John. Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA), pages 412-423, June 2007. 21, 25, 27, 62, 103, 104
-
(2007)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA)
, pp. 412-423
-
-
Phansalkar, A.1
Joshi, A.2
John., L.K.3
-
162
-
-
77954894309
-
Evolve or die: Making SPEC⣙s CPU suite relevant today and tomorrow
-
October Invited presentation DOI: 10.1109/IISWC.2006.302735, 21
-
J. Reilly. Evolve or die: Making SPEC⣙s CPU suite relevant today and tomorrow. IEEE International Symposium on Workload Characterization (IISWC), October 2006. Invited presentation. DOI: 10.1109/IISWC.2006.302735 21
-
(2006)
IEEE International Symposium on Workload Characterization (IISWC)
-
-
Reilly., J.1
-
163
-
-
84978721276
-
The wisconsin wind tunnel: Virtual prototyping of parallel computers
-
May DOI: 10.1145/166955.166979, 71, 97, 100
-
S. K. Reinhardt, M. D. Hill, J. R. Larus, A. R. Lebeck, J. C. Lewis, and D. A. Wood. The wisconsin wind tunnel: Virtual prototyping of parallel computers. In Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, pages 48-60, May 1993. DOI: 10.1145/166955.166979 71, 97, 100
-
(1993)
Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems
, pp. 48-60
-
-
Reinhardt, S.K.1
Hill, M.D.2
Larus, J.R.3
Lebeck, A.R.4
Lewis, J.C.5
Wood., D.A.6
-
164
-
-
0041633624
-
Instruction set compiled simulation: A technique for fast and flexible instruction set simulation
-
June DOI: 10.1145/775832.776026, 72
-
M. Reshadi, P. Mishra, and N. D. Dutt. Instruction set compiled simulation: a technique for fast and flexible instruction set simulation. In Proceedings of the 40th Design Automation Conference (DAC), pages 758-763, June 2003. DOI: 10.1145/775832.776026 72
-
(2003)
Proceedings of the 40th Design Automation Conference (DAC)
, pp. 758-763
-
-
Reshadi, M.1
Mishra, P.2
Dutt., N.D.3
-
165
-
-
33744471950
-
Intrinsic checkpointing: A methodology for decreasing simulation time through binary modification
-
March DOI: 10.1109/ISPASS.2005.1430561, 73
-
J. Ringenberg, C. Pelosi, D. Oehmke, and T. Mudge. Intrinsic checkpointing: A methodology for decreasing simulation time through binary modification. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 78-88, March 2005. DOI: 10.1109/ISPASS.2005.1430561 73
-
(2005)
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 78-88
-
-
Ringenberg, J.1
Pelosi, C.2
Oehmke, D.3
Mudge., T.4
-
166
-
-
0015490730
-
The inhibition of potential parallelism by conditional jumps
-
December DOI: 10.1109/T-C.1972.223514, 35
-
E. M. Riseman and C. C. Foster. The inhibition of potential parallelism by conditional jumps. IEEE Transactions on Computers, C-21(12):1405-1411, December 1972. DOI: 10.1109/T-C.1972.223514 35
-
(1972)
IEEE Transactions on Computers
, vol.C-21
, Issue.12
, pp. 1405-1411
-
-
Riseman, E.M.1
Foster., C.C.2
-
167
-
-
0030653560
-
Using theSimOS machine simulator to study complex computer systems
-
January DOI: 10.1145/244804.244807, 53
-
M.Rosenblum,E.Bugnion,S.Devine,andS.A.Herrod. Using theSimOS machine simulator to study complex computer systems. ACM Transactions on Modeling and Computer Simulation (TOMACS), 7(1):78-103, January 1997. DOI: 10.1145/244804.244807 53
-
(1997)
ACM Transactions on Modeling and Computer Simulation (TOMACS)
, vol.7
, Issue.1
, pp. 78-103
-
-
Rosenblum, M.1
Bugnion, E.2
Devine, S.3
Herrod, S.A.4
-
171
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
October 68
-
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 45-57, October 2002. 68
-
(2002)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 45-57
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder., B.4
-
172
-
-
0033220924
-
Branch prediction, instruction-window size, and cache size: Performance tradeoffs and simulation techniques
-
November DOI: 10.1109/12.811115, 67
-
K. Skadron, P. S. Ahuja, M. Martonosi, and D. W. Clark. Branch prediction, instruction-window size, and cache size: Performance tradeoffs and simulation techniques. IEEE Transactions on Computers, 48(11):1260-1281, November 1999. DOI: 10.1109/12.811115 67
-
(1999)
IEEE Transactions on Compuers
, vol.48
, Issue.11
, pp. 1260-1281
-
-
Skadron, K.1
Ahuja, P.S.2
Martonosi, M.3
Clark., D.W.4
-
173
-
-
0024091632
-
Characterizing computer performance with a single number
-
October DOI: 10.1145/63039.63043, 11
-
J. E. Smith. Characterizing computer performance with a single number. Communications of the ACM, 31(10):1202-1206, October 1988. DOI: 10.1145/63039.63043 11
-
(1988)
Communications of the ACM
, vol.31
, Issue.10
, pp. 1202-1206
-
-
Smith., J.E.1
-
175
-
-
0031593993
-
Analytic evaluation of shared-memory systems with ILP processors
-
June 46
-
D. J. Sorin, V. S. Pai, S. V. Adve, M. K. Vernon, and D. A. Wood. Analytic evaluation of shared-memory systems with ILP processors. In Proceedings of the 25th Annual International Symposium on Computer Architecture (ISCA), pages 380-391, June 1998. 46
-
(1998)
Proceedings of the 25th Annual International Symposium on Computer Architecture (ISCA)
, pp. 380-391
-
-
Sorin, D.J.1
Pai, V.S.2
Adve, S.V.3
Vernon, M.K.4
Wood., D.A.5
-
178
-
-
70349164524
-
QUICK: A flexible full-system functional model
-
April 57, 102
-
D. Sunwoo, J. Kim, and D. Chiou. QUICK: A flexible full-system functional model. In Proceedings of the Annual International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 249-258, April 2009. 57, 102
-
(2009)
Proceedings of the Annual International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 249-258
-
-
Sunwoo, D.1
Kim, J.2
Chiou., D.3
-
179
-
-
4544385770
-
SimSnap:Fast-forwarding via native execution and application-level checkpointing
-
February DOI: 10.1109/INTERA.2004.1299511, 71
-
P.K.Szwed,D.Marques,R.B.Buels,S.A.McKee,andM.Schulz. SimSnap:Fast- forwarding via native execution and application-level checkpointing. In Proceedings of the Workshop on the Interaction between Compilers and Computer Architectures (INTERACT), held in conjunction with HPCA, February 2004. DOI: 10.1109/INTERA.2004.1299511 71
-
(2004)
Proceedings of the Workshop on the Interaction between Compilers and Computer Architectures (INTERACT), Held in Conjunction with HPCA
-
-
Szwed, P.K.1
Marques, D.2
Buels, R.B.3
McKee, S.A.4
Schulz, M.5
-
180
-
-
43049128224
-
An instruction throughput model of superscalar processors
-
March DOI: 10.1109/TC.2007.70817, 45
-
T. M.Taha and D. S. Wills. An instruction throughput model of superscalar processors. IEEE Transactions on Computers, 57(3):389-403, March 2008. DOI: 10.1109/TC.2007.70817 45
-
(2008)
IEEE Transactions on Computers
, vol.57
, Issue.3
, pp. 389-403
-
-
Taha, T.M.1
Wills., D.S.2
-
183
-
-
84983179859
-
Microarchitectural exploration with Liberty
-
November 61
-
M. Vachharajani, N. Vachharajani, D. A. Penry, J. A. Blome, and D. I. August. Microarchitectural exploration with Liberty. In Proceedings of the 35th International Symposium on Microarchitecture (MICRO), pages 271-282, November 2002. 61
-
(2002)
Proceedings of the 35th International Symposium on Microarchitecture (MICRO)
, pp. 271-282
-
-
Vachharajani, M.1
Vachharajani, N.2
Penry, D.A.3
Blome, J.A.4
August., D.I.5
-
184
-
-
33947713690
-
Efficient sampling startup for SimPoint
-
July DOI: 10.1109/MM.2006.68, 73
-
M. Van Biesbrouck, B. Calder, and L. Eeckhout. Efficient sampling startup for SimPoint. IEEE Micro, 26(4):32-42, July 2006. DOI: 10.1109/MM.2006.68 73
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 32-42
-
-
Van Biesbrouck, M.1
Calder, B.2
Eeckhout., L.3
-
188
-
-
2642575180
-
A co-phase matrix to guide simultaneous multithreading simulation
-
March DOI: 10.1109/ISPASS.2004.1291355, 78, 91
-
M. Van Biesbrouck, T. Sherwood, and B. Calder. A co-phase matrix to guide simultaneous multithreading simulation. In Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 45-56, March 2004. DOI: 10.1109/ISPASS.2004.1291355 78, 91
-
(2004)
Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 45-56
-
-
Van Biesbrouck, M.1
Sherwood, T.2
Calder., B.3
-
189
-
-
33750832560
-
Simulation sampling with live-points
-
March 73, 76
-
T. F. Wenisch, R. E. Wunderlich, B. Falsafi, and J. C. Hoe. Simulation sampling with live-points. In Proceedings of the Annual International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 2-12, March 2006. 73, 76
-
(2006)
Proceedings of the Annual International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 2-12
-
-
Wenisch, T.F.1
Wunderlich, R.E.2
Falsafi, B.3
Hoe., J.C.4
-
190
-
-
33748289310
-
SIMFLEX: Statistical sampling of computer system simulation
-
DOI 10.1109/MM.2006.79
-
T.F.Wenisch,R.E.Wunderlich,M.Ferdman,A.Ailamaki,B.Falsafi,and J. C.Hoe. SimFlex: Statistical sampling of computer system simulation. IEEE Micro, 26(4):18-31, July 2006. DOI: 10.1109/MM.2006.79 7, 55, 66, 78, 79, 95 (Pubitemid 46504886)
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 18-30
-
-
Wenisch, T.F.1
Wunderlich, R.E.2
Ferdman, M.3
Ailamaki, A.4
Falsafi, B.5
Hoe, J.C.6
-
193
-
-
0038346244
-
SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling
-
June DOI: 10.1145/859618.859629, 64, 66, 67, 74, 77, 93
-
R. E. Wunderlich, T. F. Wenisch, B. Falsafi, and J. C. Hoe. SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA), pages 84-95, June 2003. DOI: 10.1145/859618.859629 64, 66, 67, 74, 77, 93
-
(2003)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA)
, pp. 84-95
-
-
Wunderlich, R.E.1
Wenisch, T.F.2
Falsafi, B.3
Hoe., J.C.4
-
194
-
-
33748333312
-
Statistical sampling of microarchitecture simulation
-
DOI 10.1145/1147224.1147225
-
R. E. Wunderlich,T. F. Wenisch, B. Falsafi, and J. C. Hoe. Statistical sampling of microarchitecture simulation. ACM Transactions on Modeling and Computer Simulation, 16(3):197-224, July 2006. DOI: 10.1145/1147224.1147225 64, 66, 67, 74, 77, 93 (Pubitemid 44330109)
-
(2006)
ACM Transactions on Modeling and Computer Simulation
, vol.16
, Issue.3
, pp. 197-224
-
-
Wunderlich, R.E.1
Wenisch, T.F.2
Falsafi, B.3
Hoe, J.C.4
-
195
-
-
28444474809
-
Characterizing and comparing prevailing simulation techniques
-
February 70
-
J. J. Yi, S. V. Kodakara, R. Sendag, D. J. Lilja, and D. M. Hawkins. Characterizing and comparing prevailing simulation techniques. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), pages 266-277, February 2005. 70
-
(2005)
Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 266-277
-
-
Yi, J.J.1
Kodakara, S.V.2
Sendag, R.3
Lilja, D.J.4
Hawkins, D.M.5
-
196
-
-
33749057743
-
A statistically rigorous approach for improving simulation methodology
-
February DOI: 10.1109/HPCA.2003.1183546, 27, 34
-
J. J. Yi, D. J. Lilja, and D. M. Hawkins. A statistically rigorous approach for improving simulation methodology. In Proceedings of the Ninth International Symposium on High Performance Computer Architecture (HPCA), pages 281-291, February 2003. DOI: 10.1109/HPCA.2003.1183546 27, 34
-
(2003)
Proceedings of the Ninth International Symposium on High Performance Computer Architecture (HPCA)
, pp. 281-291
-
-
Yi, J.J.1
Lilja, D.J.2
Hawkins., D.M.3
-
197
-
-
34548326364
-
Evaluating benchmark subsetting approaches
-
October DOI: 10.1109/IISWC.2006.302733, 29
-
J. J. Yi, R. Sendag, L. Eeckhout, A. Joshi, D. J. Lilja, and L. K. John. Evaluating benchmark subsetting approaches. In Proceedings of the 2006 IEEE International Symposium on Workload Characterization (IISWC),pages 93-104,October 2006.DOI: 10.1109/IISWC.2006.302733 29
-
(2006)
Proceedings of the 2006 IEEE International Symposium on Workload Characterization (IISWC)
, pp. 93-104
-
-
Yi, J.J.1
Sendag, R.2
Eeckhout, L.3
Joshi, A.4
Lilja, D.J.5
John., L.K.6
-
198
-
-
34547429489
-
The exigency of benchmark and compiler drift: Designing tomorrow's processors with yesterday's tools
-
June DOI: 10.1145/1183401.1183414, 17
-
J. J. Yi, H. Vandierendonck, L. Eeckhout, and D. J. Lilja. The exigency of benchmark and compiler drift: Designing tomorrow's processors with yesterday's tools. In Proceedings of the 20th ACM International Conference on Supercomputing (ICS), pages 75-86, June 2006. DOI: 10.1145/1183401.1183414 17
-
(2006)
Proceedings of the 20th ACM International Conference on Supercomputing (ICS)
, pp. 75-86
-
-
Yi, J.J.1
Vandierendonck, H.2
Eeckhout, L.3
Lilja., D.J.4
|