-
4
-
-
84872990640
-
Special purpose computer architectures for high speed optimisation
-
D. Abramson, A.d. Silva, M. Randall, and A. Posutla, Special purpose computer architectures for high speed optimisation, Second Australasian Conference on Parallel and Real Time Systems, 1995.
-
(1995)
Second Australasian Conference on Parallel and Real Time Systems
-
-
Abramson, D.1
Silva, A.D.2
Randall, M.3
Posutla, A.4
-
6
-
-
4644295630
-
Evaluating the imagine stream architecture
-
Jung Ho Ahn, William J. Dally, Brucek Khailany, and Ujval Kapasiand Abhishek Das, Evaluating the imagine stream architecture, Proceedings of the 31st International Symposium on Computer Architecture (2004).
-
(2004)
Proceedings of the 31st International Symposium on Computer Architecture
-
-
Ahn, J.H.1
Dally, W.J.2
Khailany, B.3
Kapasiand, U.4
Das, A.5
-
7
-
-
33749580907
-
Fast discrete wavelet transformation using FPGAs and distributed arithmetic
-
Ali M. Al-Haj, Fast discrete wavelet transformation using FPGAs and distributed arithmetic, International Journal of Applied Science and Engineering 1(2003), no. 2, 160-171.
-
(2003)
International Journal of Applied Science and Engineering
, vol.1
, Issue.2
, pp. 160-171
-
-
Al-Haj, A.M.1
-
8
-
-
0028745997
-
Area & time limitiations of FPGA-based virtual hardware
-
October
-
O. T. Albaharna, P. Y. K. Cheung, and T. J. Clarke, Area & time limitiations of FPGA-based virtual hardware, Proceedings of the IEEE International Conference on Computer Design, October 1994, pp. 184-189.
-
(1994)
Proceedings of the IEEE International Conference on Computer Design
, pp. 184-189
-
-
Albaharna, O.T.1
Cheung, P.Y.K.2
Clarke, T.J.3
-
9
-
-
33747342596
-
Implementation of (normalised) RLS lattice on Virtex
-
Belfast, Northern Ireland, G. Brebner and R. Woods, eds., Lecture Notes on Computer Science, Springer-Verlag, August
-
Felix Albu, Jiri Kadlec, Chris Softley, Rudolf Matousek, Antonin Hermanek, Nick Coleman, and Anthony Fagan, Implementation of (normalised) RLS lattice on Virtex, Proceedings of 11th International Conference on Field-Programmable Logic and Applications (Belfast, Northern Ireland) (G. Brebner and R. Woods, eds.), Lecture Notes on Computer Science, vol. 2147, Springer-Verlag, August 2001, pp. 91-100.
-
(2001)
Proceedings of 11th International Conference on Field-programmable Logic and Applications
, vol.2147
, pp. 91-100
-
-
Albu, F.1
Kadlec, J.2
Softley, C.3
Matousek, R.4
Hermanek, A.5
Coleman, N.6
Fagan, A.7
-
10
-
-
0029354779
-
Recent directions in netlist partitioning: A survey
-
Charles J. Alpert and Andrew B. Kahng, Recent directions in netlist partitioning: a survey, Integration, the VLSI Journal 19(1995), no. 1-2, 1-81.
-
(1995)
Integration, the VLSI Journal
, vol.19
, Issue.1-2
, pp. 1-81
-
-
Alpert, C.J.1
Kahng, A.B.2
-
11
-
-
20344377088
-
Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems
-
J. Arnold and K. Pocek, eds., IEEE Computer Society, April
-
A. Alsolaim, J. Becker, M. Glesner, and J. Starzyk, Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems, Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (J. Arnold and K. Pocek, eds.), IEEE Computer Society, April 2000, pp. 205-214.
-
(2000)
Proceedings of the 2000 IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 205-214
-
-
Alsolaim, A.1
Becker, J.2
Glesner, M.3
Starzyk, J.4
-
12
-
-
84954453631
-
-
Altera Corporation, San Jose, CA, ed., January
-
Altera Corporation, San Jose, CA, SignalTap embedded logic analyzer Megafunction data sheet, ver. 1.01 ed., January 2000.
-
(2000)
SignalTap Embedded Logic Analyzer Megafunction Data Sheet, Ver. 1.01
-
-
-
13
-
-
78650813265
-
-
Altera Corporation, San Jose, CA, version 4.2 ed., January, Datasheet
-
Altera Corporation, San Jose, CA, FLEX 10k embedded programmable logic device family, version 4.2 ed., January 2003, Datasheet.
-
(2003)
FLEX 10k Embedded Programmable Logic Device Family
-
-
-
14
-
-
84891451610
-
-
Altera Corporation, San Jose, CA, 1 ed., March, Datasheet
-
Altera Corporation, San Jose, CA, APEX 20k programmable logic device family, version 5. 1 ed., March 2004, Datasheet.
-
(2004)
APEX 20k Programmable Logic Device Family, Version 5
-
-
-
15
-
-
84891461575
-
-
Altera Corporation, San Jose, CA, ed., October
-
Altera Corporation, San Jose, CA, Stratix II device handbook, volume 1, 1.2 ed., October 2004.
-
(2004)
Stratix II Device Handbook, Volume 1, 1.2
-
-
-
16
-
-
84891386468
-
-
Altera Corporation, San Jose, CA, ed., July
-
Altera Corporation, San Jose, CA, Stratix II device handbook, volume 2, 1.1 ed., July 2004.
-
(2004)
Stratix II Device Handbook, Volume 2, 1.1
-
-
-
17
-
-
0025183708
-
Basic local alignment search tool
-
S. F. Altschul, W. Gish, W. Miller, W. E. Myers, and D. J. Lipman, Basic local alignment search tool, Journal of Molecular Biology 215(1990), no. 3, 403-410.
-
(1990)
Journal of Molecular Biology
, vol.215
, Issue.3
, pp. 403-410
-
-
Altschul, S.F.1
Gish, W.2
Miller, W.3
Myers, W.E.4
Lipman, D.J.5
-
18
-
-
0029507863
-
Teramac-configurable custom computing
-
D. A. Buell and K. L. Pocek, eds., April
-
R. Amerson, R. Carter, B. Culbertson, P. Kuekes, and G. Snider, Teramac-configurable custom computing, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (D. A. Buell and K. L. Pocek, eds.), April 1995, pp. 32-38.
-
(1995)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 32-38
-
-
Amerson, R.1
Carter, R.2
Culbertson, B.3
Kuekes, P.4
Snider, G.5
-
19
-
-
0029714354
-
Plasma: An FPGA for million gate systems
-
February
-
R. Amerson, R. Carter, W. Culbertson, P. Kuekes, G. Snider, and Albertson L, Plasma: An FPGA for million gate systems, ACM/SIGDA International Symposium on Field Programmable Gate Arrays (Monterey, CA), February 1996.
-
(1996)
ACM/SIGDA International Symposium on Field Programmable Gate Arrays (Monterey, CA)
-
-
Amerson, R.1
Carter, R.2
Culbertson, W.3
Kuekes, P.4
Snider, G.5
Albertson, L.6
-
21
-
-
0004163391
-
-
Annapolis Micro Systems, Annapolis, MD, 3.1 ed.
-
Annapolis Micro Systems, Annapolis, MD, WILDFORCE reference manual, rev 3.1 ed., 1998.
-
(1998)
WILDFORCE Reference Manual, Rev
-
-
-
24
-
-
0033657237
-
FPGA-based parallel implementation for the lifting discrete wavelet transform
-
N. Aranki, W. Q. Jiang, and A. Ortega, FPGA-based parallel implementation for the lifting discrete wavelet transform, Proceedings of the SPIE - The International Society for Optical Engineering 4118(2000), 96-107.
-
(2000)
Proceedings of the SPIE - The International Society for Optical Engineering
, vol.4118
, pp. 96-107
-
-
Aranki, N.1
Jiang, W.Q.2
Ortega, A.3
-
25
-
-
34247208111
-
Parallel FPGA implementation of the split and merge discrete wavelet transform
-
N. Aranki, A. Moopenn, and R. Tawel, Parallel FPGA implementation of the split and merge discrete wavelet transform, Lecture Notes In Computer Science 2438(2002), 740-749.
-
(2002)
Lecture Notes in Computer Science
, vol.2438
, pp. 740-749
-
-
Aranki, N.1
Moopenn, A.2
Tawel, R.3
-
26
-
-
0003372956
-
The Splash 2 software environment
-
D. A. Buell and K. L. Pocek, eds., April
-
J. M. Arnold, The Splash 2 software environment, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (D. A. Buell and K. L. Pocek, eds.), April 1993, pp. 88-93.
-
(1993)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 88-93
-
-
Arnold, J.M.1
-
27
-
-
0027001412
-
Splash 2
-
M. Arnold, D. A. Buell, and E. G. Davis, Splash 2, 4th Annual Symposium on Parallel Algorithms and Architectures (San Diego, California), 1992, pp. 316-322.
-
(1992)
4th Annual Symposium on Parallel Algorithms and Architectures (San Diego, California)
, pp. 316-322
-
-
Arnold, M.1
Buell, D.A.2
Davis, E.G.3
-
28
-
-
0003736877
-
-
Academic Press, A Harcourt Science and Technology Company
-
Peter Ashenden, The designer's guide to VHDL, Academic Press, A Harcourt Science and Technology Company, 2002.
-
(2002)
The Designer's Guide to VHDL
-
-
Ashenden, P.1
-
29
-
-
0027561268
-
Processor reconfiguration through instruction-set metamorphosis
-
P. M. Athanas and H. F. Silverman, Processor reconfiguration through instruction-set metamorphosis, IEEE Computer 26(1993), no. 3, 11-18.
-
(1993)
IEEE Computer
, vol.26
, Issue.3
, pp. 11-18
-
-
Athanas, P.M.1
Silverman, H.F.2
-
30
-
-
33746143085
-
ADHOPNET: Integrated tools for end-to-end analysis of extremely large next generation telecommunication networks
-
Los Alamos, NM
-
K. A. Atkins, C. L. Barret, R. J. Beckman, S. G. Eubank, N. W. Hengarter, G. Istrate, A. V. S. Kumar, M. V. Marathe, H. S. Mortviet, C. M. Reidys, P. R. Romero, R. A. Pistone, J. P. Smith, P. E. Stretz, C. D. Engelhart, M. Droza, M. M. Morin, S. S. Pathak, S. Zust, and S. S. Ravi, ADHOPNET: Integrated tools for end-to-end analysis of extremely large next generation telecommunication networks, Tech. report, Los Alamos National Laboratory, Los Alamos, NM, 2003.
-
(2003)
Tech. Report, los Alamos National Laboratory
-
-
Atkins, K.A.1
Barret, C.L.2
Beckman, R.J.3
Eubank, S.G.4
Hengarter, N.W.5
Istrate, G.6
Kumar, A.V.S.7
Marathe, M.V.8
Mortviet, H.S.9
Reidys, C.M.10
Romero, P.R.11
Pistone, R.A.12
Smith, J.P.13
Stretz, P.E.14
Engelhart, C.D.15
Droza, M.16
Morin, M.M.17
Pathak, S.S.18
Zust, S.19
Ravi, S.S.20
more..
-
31
-
-
18644385256
-
Reconfigurable molecular dynamics simulator
-
Navid Azizi, Ian Kuon, Aaron Egier, Ahmad Darabiha, and Paul Chow, Reconfigurable molecular dynamics simulator, IEEE International Symposium on FPGAs for Custom Computing Machines (2004), 197-206.
-
(2004)
IEEE International Symposium on FPGAs for Custom Computing Machines
, pp. 197-206
-
-
Azizi, N.1
Kuon, I.2
Egier, A.3
Darabiha, A.4
Chow, P.5
-
32
-
-
85027124029
-
Virtual wires: Overcoming pin limitations in FPGA-based logic emulators
-
D. A. Buell and K. L. Pocek, eds., April
-
J. Babb, R. Tessier, and A. Agarwal, Virtual wires: Overcoming pin limitations in FPGA-based logic emulators, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (D. A. Buell and K. L. Pocek, eds.), April 1993, pp. 142-151.
-
(1993)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 142-151
-
-
Babb, J.1
Tessier, R.2
Agarwal, A.3
-
33
-
-
84861378120
-
Implen mentation of elliptic curve cryptosystems over GF (2) in optimal normal basis on a reconfigurable computer
-
Sashisu Bajracharya, Chang Shu, Kris Gaj, and Tarek A. El-Ghazawi, Implen mentation of elliptic curve cryptosystems over GF (2) in optimal normal basis on a reconfigurable computer., FPL, 2004, pp. 1001-1005.
-
(2004)
FPL
, pp. 1001-1005
-
-
Bajracharya, S.1
Shu, C.2
Gaj, K.3
El-Ghazawi, T.A.4
-
34
-
-
18644373058
-
A methodology for synthesis of efficient intrusion detection systems on FPGAs
-
J. M. Arnold and K. L. Pocek, eds., April
-
Z. K. Baker and V. K. Prasanna, A methodology for synthesis of efficient intrusion detection systems on FPGAs, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2004.
-
(2004)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Baker, Z.K.1
Prasanna, V.K.2
-
35
-
-
0343289376
-
FPGA realization of a CORDIC based FFT processor for biomedical signal processing
-
A. Banerjee, A. S. Dhar, and S. Banerjee, FPGA realization of a CORDIC based FFT processor for biomedical signal processing, Microprocessors and Microsystems 25(2001), no. 3, 131-42.
-
(2001)
Microprocessors and Microsystems
, vol.25
, Issue.3
, pp. 131-142
-
-
Banerjee, A.1
Dhar, A.S.2
Banerjee, S.3
-
36
-
-
0011891821
-
Pact XPP - A self-reconfigurable data processing architecture
-
CSREA Press, June
-
V. Baumgarte, F. May, A. Nuckel, M. Vorbach, and M. Weinhardt, Pact XPP-a self-reconfigurable data processing architecture, Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (Las Vegas, NV), CSREA Press, June 2001, pp. 64-70.
-
(2001)
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (Las Vegas, NV)
, pp. 64-70
-
-
Baumgarte, V.1
May, F.2
Nuckel, A.3
Vorbach, M.4
Weinhardt, M.5
-
37
-
-
84891374344
-
-
BEE 2, http://bwrc.eecs.berkeley.edu/research/bee/bee2/, (2004).
-
(2004)
BEE
, vol.2
-
-
-
39
-
-
84891430036
-
-
USC Information Sciences Institute-East, Arlingtonm, VA, 0.3.1 ed., October, This document is included with the SLAAC1-V board documentation
-
Peter Bellows, SLAAC1-V SDK user's manual, USC Information Sciences Institute-East, Arlingtonm, VA, 0.3.1 ed., October 2000, This document is included with the SLAAC1-V board documentation.
-
(2000)
SLAAC1-V SDK User's Manual
-
-
Bellows, P.1
-
40
-
-
84891462771
-
IPSec-protected transport of HDTV over IP
-
Wasington, DC Rich Katz, ed., September
-
Peter Bellows, Jaroslav Flidr, Ladan Gharaj, et al., IPSec-Protected Transport of HDTV over IP, Military Applications of Programmable Logic Devices (MAPLD) (Wasington, DC) (Rich Katz, ed.), September 2003.
-
(2003)
Military Applications of Programmable Logic Devices (MAPLD)
-
-
Bellows, P.1
Flidr, J.2
Gharaj, L.3
-
41
-
-
84950126590
-
GRIP: A reconfigurable architecture for host-based gigabit-rate packet processing
-
Napa, CA J. M. Arnold and K. L. Pocek, eds., April
-
Peter Bellows, Jaroslav Flidr, Tom Lehman, Brian Schott, and Keith Underwood, GRIP: A reconfigurable architecture for host-based gigabit-rate packet processing, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2002.
-
(2002)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines
-
-
Bellows, P.1
Flidr, J.2
Lehman, T.3
Schott, B.4
Underwood, K.5
-
42
-
-
84949835061
-
JHDL-an HDL for reconfigurable systems
-
K. Pocek and J. Arnold, eds., IEEE Computer Society, April
-
Peter Bellows and Brad Hutchings, JHDL-an HDL for reconfigurable systems, Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (K. Pocek and J. Arnold, eds.), IEEE Computer Society, April 1998, pp. 175-184.
-
(1998)
Proceedings of the IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 175-184
-
-
Bellows, P.1
Hutchings, B.2
-
44
-
-
84942879523
-
Design and implementation of a generic 2d orthogonal discrete wavelet transform on FPGA
-
9-11 April 2003, Napa, CA, USA, Los Alamitos, CA, USA: IEEE Comput. Soc, 2003
-
A. Benkrid, K. Benkrid, and D. Crookes, Design and implementation of a generic 2d orthogonal discrete wavelet transform on FPGA, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines. FCCM 2003, 9-11 April 2003, Napa, CA, USA, Los Alamitos, CA, USA: IEEE Comput. Soc, 2003, 2003, pp. 162-72.
-
(2003)
11th Annual IEEE Symposium on Field-programmable Custom Computing Machines. FCCM 2003
, pp. 162-172
-
-
Benkrid, A.1
Benkrid, K.2
Crookes, D.3
-
45
-
-
0036683380
-
Towards a general framework for FPGA based image processing using hardware skeletons
-
K. Benkrid, D. Crookes, and A. Benkrid, Towards a general framework for FPGA based image processing using hardware skeletons, Parallel Computing 28 (2002).
-
(2002)
Parallel Computing
, pp. 28
-
-
Benkrid, K.1
Crookes, D.2
Benkrid, A.3
-
46
-
-
0345865009
-
Genbank: Update
-
D. A. Benson, I. Karsch-Mizrachi, D. J. Lipman, J. Ostell, and D. L. Wheeler, Genbank: update, Nucleic Acids Research, Database issue 32(2004), D23-D26.
-
(2004)
Nucleic Acids Research, Database Issue
, vol.32
-
-
Benson, D.A.1
Karsch-Mizrachi, I.2
Lipman, D.J.3
Ostell, J.4
Wheeler, D.L.5
-
47
-
-
0042280401
-
Introduction to programmable active memories
-
J. McCanny, J. McWhirther, and E. Swartslander Jr., eds., Prentice Hall
-
P. Bertin, D. Roncin, and J. Vuillemin, Introduction to programmable active memories, Systolic Array Processors (J. McCanny, J. McWhirther, and E. Swartslander Jr., eds.), Prentice Hall, 1989, pp. 300-309.
-
(1989)
Systolic Array Processors
, pp. 300-309
-
-
Bertin, P.1
Roncin, D.2
Vuillemin, J.3
-
50
-
-
0003793410
-
-
Kluwer Academic Publishers, Boston
-
Vaughn Betz, Jonathan Rose, and Alexander Marquardt, Architecture and CAD for deep-submicron FPGAs, Kluwer Academic Publishers, Boston, 1999.
-
(1999)
Architecture and CAD for Deep-submicron FPGAs
-
-
Betz, V.1
Rose, J.2
Marquardt, A.3
-
51
-
-
0030674146
-
Wormhole run-time reconfiguration
-
Monterey, CA, February
-
R. Bittner and P. Athanas, Wormhole run-time reconfiguration, ACM/SIGDA International Symposium on Field Programmable Gate Arrays (Monterey, CA), February 1997, pp. 79-85.
-
(1997)
ACM/SIGDA International Symposium on Field Programmable Gate Arrays
, pp. 79-85
-
-
Bittner, R.1
Athanas, P.2
-
53
-
-
0014814325
-
Space-time trade-offs in hash coding with allowable errors
-
B. H. Bloom, Space-time trade-offs in hash coding with allowable errors, Commun. ACM 13(1970), no. 7, 422-426.
-
(1970)
Commun. ACM
, vol.13
, Issue.7
, pp. 422-426
-
-
Bloom, B.H.1
-
54
-
-
0036469457
-
Mapping a single assignment programming language to reconfigurable systems
-
W. Bohm, J. Hammes, B. Draper, M. Chawathe, C. Ross, and W. Najjar, Mapping a single assignment programming language to reconfigurable systems, Journal of Supercomputing 21(2002), 117-130.
-
(2002)
Journal of Supercomputing
, vol.21
, pp. 117-130
-
-
Bohm, W.1
Hammes, J.2
Draper, B.3
Chawathe, M.4
Ross, C.5
Najjar, W.6
-
55
-
-
63849143014
-
Defacto: Design environment for adaptive computing technology
-
San Juan, Puerto Rico
-
K. Bondalapati, P. Diniz, P. Duncan, J. Granacki, M. Hall, R. Jain, and H. Ziegler, Defacto: Design environment for adaptive computing technology, Proceedings of the Reconfigurable Architecture Workshop, held in conjunction with the International Parallel Processing Symposium, San Juan, Puerto Rico (1999).
-
(1999)
Proceedings of the Reconfigurable Architecture Workshop, Held in Conjunction with the International Parallel Processing Symposium
-
-
Bondalapati, K.1
Diniz, P.2
Duncan, P.3
Granacki, J.4
Hall, M.5
Jain, R.6
Ziegler, H.7
-
56
-
-
0032646902
-
Reconfigurable pipelined 2-d convolvers for fast digital signal processing
-
Bernard Bosi, Guy Bois, and Yvon Savaria, Reconfigurable pipelined 2-d convolvers for fast digital signal processing, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 7(1999), no. 3.
-
(1999)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.7
, Issue.3
-
-
Bosi, B.1
Bois, G.2
Savaria, Y.3
-
57
-
-
0036171184
-
Protocol wrappers for layered network packet processing in reconfigurable hardware
-
Florian Braun, John Lockwood, and Marcel Waldvogel, Protocol wrappers for layered network packet processing in reconfigurable hardware, IEEE Micro 22(2002), no. 3, 66-74.
-
(2002)
IEEE Micro
, vol.22
, Issue.3
, pp. 66-74
-
-
Braun, F.1
Lockwood, J.2
Waldvogel, M.3
-
58
-
-
0030983386
-
Population statistics of protein structures: Lessons from structural classification
-
1997
-
S. E. Brenner, C. Chothia, and T. J. Hubbard, Population statistics of protein structures: lessons from structural classification, Curr. Opin. Struct. Biol 7(1997), 369-376.
-
Curr. Opin. Struct. Biol
, vol.7
, pp. 369-376
-
-
Brenner, S.E.1
Chothia, C.2
Hubbard, T.J.3
-
59
-
-
0004001585
-
-
Kluwer Academic Publishers
-
Stephen D. Brown, Robert J. Francis, Jonathan Rose, and Zvonko G. Vranesic, Field-programmable gate arrays, Kluwer Academic Publishers, 1992.
-
(1992)
Field-programmable Gate Arrays
-
-
Brown, S.D.1
Francis, R.J.2
Rose, J.3
Vranesic, Z.G.4
-
61
-
-
1242331699
-
A space-based reconfigurable radio
-
Toomas P. Plaks and Peter M. Athanas, eds., CSREA Press, June
-
Michael Caffrey, A space-based reconfigurable radio, Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA) (Toomas P. Plaks and Peter M. Athanas, eds.), CSREA Press, June 2002, pp. 49-53.
-
(2002)
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA)
, pp. 49-53
-
-
Caffrey, M.1
-
63
-
-
84891429451
-
Status and control semaphore registers using partial reconfiguration
-
Xilinx, Inc., San Jose, CA, June
-
Nick Camilleri, Status and control semaphore registers using partial reconfiguration, Application Note XAPP 153, Xilinx, Inc., San Jose, CA, June 1999.
-
(1999)
Application Note XAPP
, vol.153
-
-
Camilleri, N.1
-
64
-
-
23044532760
-
A method for implementing bitserial finite impulse response digital filters in FPGAs using jbitssup TM
-
2-4 Sept. 2002, Montpellier, France M. Glesner, P. Zipf, and M. Renovell, eds., Berlin, Germany: Springer-Verlag, 2002
-
A. Carreira, T. W. Fox, and L. E. Turner, A method for implementing bitserial finite impulse response digital filters in FPGAs using jbitssup TM, Field-Programmable Logic and Applications. Reconfigurable Computing Is Going Mainstream. 12th International Conference, FPL 2002. Proceedings, 2-4 Sept. 2002, Montpellier, France (M. Glesner, P. Zipf, and M. Renovell, eds.), Berlin, Germany: Springer-Verlag, 2002, 2002, pp. 222-231.
-
(2002)
Field-programmable Logic and Applications. Reconfigurable Computing Is Going Mainstream. 12th International Conference, FPL 2002. Proceedings
, pp. 222-231
-
-
Carreira, A.1
Fox, T.W.2
Turner, L.E.3
-
65
-
-
0018047004
-
Exact and approximate membership testers
-
L. Carter, R. Floyd, J. Gill, G. Markowsky, and M. Wegman, Exact and approximate membership testers, 10th ACM Symposium on Theory of Computing (STOC 78), 1978, pp. 59-65.
-
(1978)
10th ACM Symposium on Theory of Computing (STOC 78)
, pp. 59-65
-
-
Carter, L.1
Floyd, R.2
Gill, J.3
Markowsky, G.4
Wegman, M.5
-
68
-
-
29244462643
-
Efficient modularpipelined AES implementation in counter mode on ALTERA FPGA
-
Springer, 2003
-
Franois Charot, Eslam Yahya, and Charles Wagner, Efficient modularpipelined AES implementation in counter mode on ALTERA FPGA, Field-Programmable Logic and Applications, vol. Lecture Notes in Computer Science vol. 2778, Springer, 2003, pp. 282-291.
-
Field-programmable Logic and Applications, Vol. Lecture Notes in Computer Science
, vol.2778
, pp. 282-291
-
-
Charot, F.1
Yahya, E.2
Wagner, C.3
-
69
-
-
0026964221
-
A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths
-
D. C. Chen and J. M. Rabaey, A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths, IEEE Journal of Solid-State Circuits 27(1992), no. 12, 1895-1904.
-
(1992)
IEEE Journal of Solid-state Circuits
, vol.27
, Issue.12
, pp. 1895-1904
-
-
Chen, D.C.1
Rabaey, J.M.2
-
70
-
-
84939764389
-
Bit-serial realizations of a class of nonlinear filters based on positive boolean functions
-
Keping Chen, Bit-serial realizations of a class of nonlinear filters based on positive boolean functions, IEEE Transactions on Circuits and Systems 36(1989), no. 6.
-
(1989)
IEEE Transactions on Circuits and Systems
, vol.36
, Issue.6
-
-
Chen, K.1
-
71
-
-
0017538003
-
Fast computational algorithm for the discrete cosine transform
-
Wen-Hsiung Chen, C. HarrisonSmith, and S. C. Fralick, Fast computational algorithm for the discrete cosine transform, IEEE Transactions on Communications COM-25(1977), no. 9, 1004-1009.
-
(1977)
IEEE Transactions on Communications COM
, vol.25
, Issue.9
, pp. 1004-1009
-
-
Chen, W.-H.1
Harrison Smith, C.2
Fralick, S.C.3
-
72
-
-
0141908445
-
A datapath oriented architecture for FPGAs
-
February
-
Don Cherepacha and David Lewis, A datapath oriented architecture for FPGAs, Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (Monterey, CA), ACM/SIGDA, February 1994, pp. 1-11.
-
(1994)
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (Monterey, CA), ACM/SIGDA
, pp. 1-11
-
-
Cherepacha, D.1
Lewis, D.2
-
74
-
-
79957587246
-
Tradeoffs in parallel and serial implementations of the International Data Encryption Algorithm IDEA
-
Springer
-
O. Y. H. Cheung, K. H. Tsoi, K. H. Leung, et al., Tradeoffs in parallel and serial implementations of the International Data Encryption Algorithm IDEA, Proceedings of the Cryptographic Hardware and Embedded Systems Workshop (CHES), Springer, 2001, pp. 333-347.
-
(2001)
Proceedings of the Cryptographic Hardware and Embedded Systems Workshop (CHES)
, pp. 333-347
-
-
Cheung, O.Y.H.1
Tsoi, K.H.2
Leung, K.H.3
-
75
-
-
0032162947
-
High-performance automatic target recognition through data-specific VLSI
-
Kang-Ngee Chia, Hea Joung Kim, Shane Lansing, William H. Mangione-Smith, and John Villasenor, High-performance automatic target recognition through data-specific VLSI, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 6(1998), no. 3.
-
(1998)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.6
, Issue.3
-
-
Chia, K.-N.1
Kim, H.J.2
Lansing, S.3
Mangione-Smith, W.H.4
Villasenor, J.5
-
76
-
-
18644363579
-
Deep packet filter with dedicated logic and read only memories
-
J. M. Arnold and K. L. Pocek, eds., April
-
Y. H. Cho and W. H. Mangione-Smith, Deep packet filter with dedicated logic and read only memories, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2004.
-
(2004)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Cho, Y.H.1
Mangione-Smith, W.H.2
-
79
-
-
1842430892
-
FPGA implementation of digital filters
-
Santa Clara, CA
-
C. Chou, S. Mohanakrishnan, and J. B. Evans, FPGA implementation of digital filters, Proceedings of the Fourth International Conference on Signal Processing Applications and Technology (Santa Clara, CA), 1993, pp. 80-88.
-
(1993)
Proceedings of the Fourth International Conference on Signal Processing Applications and Technology
, pp. 80-88
-
-
Chou, C.1
Mohanakrishnan, S.2
Evans, J.B.3
-
80
-
-
84891466442
-
Biological information signal processor
-
Barcelona, Spain
-
E. Chow, T. Hunkapiller, and J. Peterson, Biological information signal processor, ASAP'91, International Conference on Application Specific Array Processors (Barcelona, Spain), 1991.
-
(1991)
ASAP'91, International Conference on Application Specific Array Processors
-
-
Chow, E.1
Hunkapiller, T.2
Peterson, J.3
-
81
-
-
84947944263
-
TM processor interface
-
Oxford, United Kingdom W. Moore and W. Luk, eds., Lecture Notes in Computer Science, Springer-Verlag, Berlin, August/September
-
TM processor interface, Field-Programmable Logic and Applications: 5th international workshop (Oxford, United Kingdom) (W. Moore and W. Luk, eds.), Lecture Notes in Computer Science, vol. 975, Springer-Verlag, Berlin, August/September 1995, pp. 36-43.
-
(1995)
Field-programmable Logic and Applications: 5th International Workshop
, vol.975
, pp. 36-43
-
-
Churcher, S.1
Kean, T.2
Wilkie, B.3
-
83
-
-
18644374121
-
Scalable pattern matching for high speed networks
-
J. M. Arnold and K. L. Pocek, eds., April
-
C. R. Clark and D. E. Schimmel, Scalable pattern matching for high speed networks, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2004.
-
(2004)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Clark, C.R.1
Schimmel, D.E.2
-
85
-
-
0000227930
-
Reconfigurable computing: A survey of systems and software
-
Katherine Compton and Scott Hauck, Reconfigurable computing: a survey of systems and software, ACM Computing Surveys (CSUR) (2002), no. 2, 171-210.
-
(2002)
ACM Computing Surveys (CSUR)
, Issue.2
, pp. 171-210
-
-
Compton, K.1
Hauck, S.2
-
87
-
-
0028259317
-
FlowMap: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs
-
J. Cong and Y. Ding, FlowMap: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 13(1994), no. 1, 1-11.
-
(1994)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.13
, Issue.1
, pp. 1-11
-
-
Cong, J.1
Ding, Y.2
-
88
-
-
0028455029
-
On area/depth trade-off in LUT-based FPGA technology mapping
-
-. On area/depth trade-off in LUT-based FPGA technology mapping, IEEE Transactions on VLSI Systems 2(1994), no. 2, 137-148.
-
(1994)
IEEE Transactions on VLSI Systems
, vol.2
, Issue.2
, pp. 137-148
-
-
Cong, J.1
Ding, Y.2
-
89
-
-
0031364691
-
Large scale circuit partitioning with loose/stable net removal and signal flow based clustering
-
IEEE Computer Society
-
Jason Cong, Honching Peter Li, Sung Kyu Lim, Toshiyuki Shibuya, and Dongmin Xu, Large scale circuit partitioning with loose/stable net removal and signal flow based clustering, ICCAD'97: Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, IEEE Computer Society, 1997, pp. 441-446.
-
(1997)
ICCAD'97: Proceedings of the 1997 IEEE/ACM International Conference on Computer-aided Design
, pp. 441-446
-
-
Cong, J.1
Li, H.P.2
Lim, S.K.3
Shibuya, T.4
Xu, D.5
-
92
-
-
51549114144
-
Perturbation analysis for word-length optimization
-
FCCM 2003, 9-11 April 2003, Napa, CA, USA, Los Alamitos, CA, USA: IEEE Comput. Soc, 2003
-
G. A. Constantinides, Perturbation analysis for word-length optimization, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines. FCCM 2003, 9-11 April 2003, Napa, CA, USA, Los Alamitos, CA, USA: IEEE Comput. Soc, 2003, 2003, pp. 81-90.
-
(2003)
11th Annual IEEE Symposium on Field-programmable Custom Computing Machines
, pp. 81-90
-
-
Constantinides, G.A.1
-
93
-
-
84891438795
-
Processing repetitive sequence structures with mismatches at streaming rate
-
A. A. Conti, T. Van Court, and M. C. Herbordt, Processing repetitive sequence structures with mismatches at streaming rate., FPL 2004, 2004, pp. 1080-1083.
-
(2004)
FPL 2004
, pp. 1080-1083
-
-
Conti, A.A.1
Van Court, T.2
Herbordt, M.C.3
-
94
-
-
84968470212
-
An algorithm for the machine calculation of complex fourier series
-
J. W. Cooley and J. W. Tukey, An algorithm for the machine calculation of complex fourier series, Math. Computation 19(1965), 297-301.
-
(1965)
Math. Computation
, vol.19
, pp. 297-301
-
-
Cooley, J.W.1
Tukey, J.W.2
-
95
-
-
0033957841
-
ProDom and ProDom-CG: Tools for protein domain analysis and whole genome comparisons
-
F. Corpet, F. Serav, J. Gouzy, and D. Kahn, ProDom and ProDom-CG: tools for protein domain analysis and whole genome comparisons, Nucleic Acid Research 28(2000), 267-269.
-
(2000)
Nucleic Acid Research
, vol.28
, pp. 267-269
-
-
Corpet, F.1
Serav, F.2
Gouzy, J.3
Kahn, D.4
-
98
-
-
0035980874
-
A fast and practical bit-vector algorithm for the longest common subsequence problem
-
M. Crochemore, C. Iliopoulos, Y. Pinzon, and J. Reid, A fast and practical bit-vector algorithm for the longest common subsequence problem, Information Processing Letters 80(2001), no. 6, 279-285.
-
(2001)
Information Processing Letters
, vol.80
, Issue.6
, pp. 279-285
-
-
Crochemore, M.1
Iliopoulos, C.2
Pinzon, Y.3
Reid, J.4
-
99
-
-
84955557263
-
RaPiD - Reconfigurable pipelined datapath
-
R. W. Hartenstein and M. Glesner, eds., Springer-Verlag, September
-
C. Ebeling D. C. Cronquist and P. Franklin, RaPiD - reconfigurable pipelined datapath, Field-Programmable Logic: Smart Applications, New Paradigms, and Compilers. 6th International Workshop on Field-Programmable Logic and Applications (Darmstadt, Germany) (R. W. Hartenstein and M. Glesner, eds.), Springer-Verlag, September 1996, pp. 126-135.
-
(1996)
Field-programmable Logic: Smart Applications, New Paradigms, and Compilers. 6th International Workshop on Field-programmable Logic and Applications (Darmstadt, Germany)
, pp. 126-135
-
-
Ebeling, C.1
Cronquist, D.C.2
Franklin, P.3
-
100
-
-
84966670525
-
Architecture design of reconfigurable pipelined datapaths
-
March
-
D. C. Cronquist, C. Fisher, M. Figueroa, P. Franklin, and C. Ebeling, Architecture design of reconfigurable pipelined datapaths, Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI (Atlanta, GA), March 1999, pp. 23-40.
-
(1999)
Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI (Atlanta, GA)
, pp. 23-40
-
-
Cronquist, D.C.1
Fisher, C.2
Figueroa, M.3
Franklin, P.4
Ebeling, C.5
-
101
-
-
85013779657
-
Specifying and compiling applications for RaPiD
-
K. Pocek and J. Arnold, eds., IEEE Computer Society, April
-
Darren C. Cronquist, Paul Franklin, Stefan G. Berg, and Carl Ebeling, Specifying and compiling applications for RaPiD, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (K. Pocek and J. Arnold, eds.), IEEE Computer Society, April 1998, pp. 116-125.
-
(1998)
Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 116-125
-
-
Cronquist, D.C.1
Franklin, P.2
Berg, S.G.3
Ebeling, C.4
-
103
-
-
84949816680
-
An adaptive cryptographic engine for IPSec architectures
-
Brad Hutchings, ed., April
-
Andread Dandalin, Viktor Prasanna, and Jose Rolim, An adaptive cryptographic engine for IPSec architectures, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (Brad Hutchings, ed.), April 2000.
-
(2000)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Dandalin, A.1
Prasanna, V.2
Rolim, J.3
-
104
-
-
33746047855
-
-
CWCE 2003
-
A. Darling, L. Carey, and W. Feng, The design, implementation, and evaluation of mpiBLAST, CWCE 2003, 2003.
-
(2003)
The Design, Implementation, and Evaluation of mpiBLAST
-
-
Darling, A.1
Carey, L.2
Feng, W.3
-
107
-
-
0028738226
-
DPGA-coupled microprocessors: Commodity ICs for the early 21st century
-
Napa, CA D. A. Buell and K. L. Pocek, eds., April
-
A. DeHon, DPGA-coupled microprocessors: Commodity ICs for the early 21st century, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (D. A. Buell and K. L. Pocek, eds.), April 1994, pp. 31-39.
-
(1994)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines
, pp. 31-39
-
-
De Hon, A.1
-
108
-
-
18644376855
-
Design patterns for reconfigurable computing
-
A. DeHon, J. Adams, M. DeLorimier, N. Kapre, Y. Matsuda, and H. Naeimi, Design patterns for reconfigurable computing, IEEE International Symposium on FPGAs for Custom Computing Machines (2004), 13-22.
-
(2004)
IEEE International Symposium on FPGAs for Custom Computing Machines
, pp. 13-22
-
-
De Hon, A.1
Adams, J.2
De Lorimier, M.3
Kapre, N.4
Matsuda, Y.5
Naeimi, H.6
-
110
-
-
3142609568
-
Software radio and dynamic reconfiguration on a DSP/FPGA platform
-
J. P. Delahaye, G. Gogniat, C. Roland, and P. Bomel, Software radio and dynamic reconfiguration on a DSP/FPGA platform, Frequenz 58(2004), no. 5-6, 152-159.
-
(2004)
Frequenz
, vol.58
, Issue.5-6
, pp. 152-159
-
-
Delahaye, J.P.1
Gogniat, G.2
Roland, C.3
Bomel, P.4
-
118
-
-
12744278670
-
On the use of FPGAs for OFDM signal processing
-
Las Vegas, NV, TP Plaks, ed., CSREA PRESS, June
-
C. Dick and F. Harris, On the use of FPGAs for OFDM signal processing, International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA 04) (Las Vegas, NV) (TP Plaks, ed.), CSREA PRESS, June 2004, pp. 259-263.
-
(2004)
International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA 04)
, pp. 259-263
-
-
Dick, C.1
Harris, F.2
-
119
-
-
84949789432
-
Synchronization in software radios - Carrier and timing recovery using FPGAs
-
B. L. Hutchings, ed., IEEE COMPUTER SOC, April
-
C. Dick, F. Harris, and M. Rice, Synchronization in software radios - carrier and timing recovery using FPGAs, Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (B. L. Hutchings, ed.), IEEE COMPUTER SOC, April 2000, pp. 195-204.
-
(2000)
Proceedings of the IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 195-204
-
-
Dick, C.1
Harris, F.2
Rice, M.3
-
120
-
-
1642408013
-
FPGAs: Re-inventing the signal processor
-
23-26 June 2003, Las Vegas, NV, USA TP Plaks, ed., Athens, GA, USA: CSREA Press, 2003
-
C. H. Dick, FPGAs: re-inventing the signal processor, International Conference on Engineering of Reconfigurable Systems and Algorithms - ERSA'03, 23-26 June 2003, Las Vegas, NV, USA (TP Plaks, ed.), Athens, GA, USA: CSREA Press, 2003, 2003, pp. 252-8.
-
(2003)
International Conference on Engineering of Reconfigurable Systems and Algorithms - ERSA'03
, pp. 252-258
-
-
Dick, C.H.1
-
121
-
-
0029720102
-
Computing the discrete fourier transform on FPGA based systolic arrays
-
Monterey, CA, February
-
Chris Dick, Computing the discrete fourier transform on FPGA based systolic arrays, ACM/SIGDA International Symposium on Field Programmable Gate Arrays (Monterey, CA), February 1996, pp. 129-135.
-
(1996)
ACM/SIGDA International Symposium on Field Programmable Gate Arrays
, pp. 129-135
-
-
Dick, C.1
-
122
-
-
0742321139
-
FPGA implementation of carrier synchronization for QAM receivers
-
Chris Dick, Fred Harris, Fred, and Michael Rice, FPGA implementation of carrier synchronization for QAM receivers, Journal of VLSI Signal Processing 36(2004), no. 1, 57-71.
-
(2004)
Journal of VLSI Signal Processing
, vol.36
, Issue.1
, pp. 57-71
-
-
Dick, C.1
Harris, F.2
Fred3
Rice, M.4
-
123
-
-
34147120474
-
A note on two problems in connexion with graphs
-
MR MR0107609 21334
-
E. W. Dijkstra, A note on two problems in connexion with graphs, Numer. Math. 1(1959), 269-271. MR MR0107609 (21334)
-
(1959)
Numer. Math.
, vol.1
, pp. 269-271
-
-
Dijkstra, E.W.1
-
124
-
-
0012025394
-
Two Virtex-II FPGAs deliver fastest, cheapest, best highperformance image processing system
-
Fall/Winter, 70-73, Xilinx, Inc. publication
-
Tom Dillon, Two Virtex-II FPGAs deliver fastest, cheapest, best highperformance image processing system, Xcell Journal (2001), no. Fall/Winter, 70-73, Xilinx, Inc. publication.
-
(2001)
Xcell Journal
-
-
Dillon, T.1
-
126
-
-
33845332789
-
A flexible implementation of high-performance FIR filters on Xilinx FPGAs
-
31 Aug.-3 Sept, Tallinn, Estonia A. Hartenstein, RW; Keevallik, ed., Berlin, Germany: Springer-Verlag, 1998, 1998
-
T. T. Do, H. Kropp, C. Reuter, and P. Pirsch, A flexible implementation of high-performance FIR filters on Xilinx FPGAs, Field-Programmable Logic and Applications. From FPGAs to Computing Paradigm. 8th International Workshop, FPL'98. Proceedigns, 31 Aug.-3 Sept. 1998, Tallinn, Estonia (A. Hartenstein, RW; Keevallik, ed.), Berlin, Germany: Springer-Verlag, 1998, 1998, pp. 441-5.
-
(1998)
Field-programmable Logic and Applications. from FPGAs to Computing Paradigm. 8th International Workshop, FPL'98. Proceedigns
, pp. 441-445
-
-
Do, T.T.1
Kropp, H.2
Reuter, C.3
Pirsch, P.4
-
127
-
-
10844242241
-
Accelerated image processing on FPGAs
-
Bruce A. Draper, Ross Beveridge, A. P. Willem B̈ohm, Charles Ross, and Monica Chawathe, Accelerated image processing on FPGAs, IEEE Transactions on Image Processing 12(2003), no. 12.
-
(2003)
IEEE Transactions on Image Processing
, vol.12
, Issue.12
-
-
Draper, B.A.1
Beveridge, R.2
B̈ohm, W.A.P.3
Ross, C.4
Chawathe, M.5
-
129
-
-
84958615997
-
Special purpose image convolution with evolvable hardware
-
J. Dumoulin, J. A. Foster, J. F. Frenzel, and S. McGrew, Special purpose image convolution with evolvable hardware, Workshop on Real-World Applications of Evolutionary Computing, Lecture Notes in Computer Science, vol. 1803, 2000.
-
(2000)
Workshop on Real-world Applications of Evolutionary Computing, Lecture Notes in Computer Science
, vol.1803
-
-
Dumoulin, J.1
Foster, J.A.2
Frenzel, J.F.3
McGrew, S.4
-
131
-
-
0035443063
-
The cell matrix: An architecture for nanocomputing
-
Lisa Durbeck and Nick Macias, The cell matrix: An architecture for nanocomputing, Nanotechnology (2001), 217-230.
-
(2001)
Nanotechnology
, pp. 217-230
-
-
Durbeck, L.1
Macias, N.2
-
132
-
-
35048890993
-
Large scale protein sequence alignment using FPGA reprogrammable logic devices
-
Springer, ed.
-
S. Dydel and P. Bala, Large scale protein sequence alignment using FPGA reprogrammable logic devices, FPL'04, LNCS 3203 (Springer, ed.), 2004, pp. 23-32.
-
(2004)
FPL'04, LNCS
, vol.3203
, pp. 23-32
-
-
Dydel, S.1
Bala, P.2
-
133
-
-
0029534183
-
Placement and routing tools for the Triptych FPGA
-
C. Ebeling, L. McMurchie, S. A. Hauck, and S. Burns, Placement and routing tools for the Triptych FPGA, IEEE Transactions on VLSI Systems 3(1995), no. 4, 473-482.
-
(1995)
IEEE Transactions on VLSI Systems
, vol.3
, Issue.4
, pp. 473-482
-
-
Ebeling, C.1
McMurchie, L.2
Hauck, S.A.3
Burns, S.4
-
134
-
-
0031382165
-
Mapping applications to the RaPiD configurable architecture
-
K. Pocek and J. Arnold, eds., IEEE Computer Society, April
-
Carl Ebeling, Darren C. Cronquist, Paul Franklin, Jason Secosky, and Stefan G. Berg, Mapping applications to the RaPiD configurable architecture, Proceedings of the 5th Annual IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (K. Pocek and J. Arnold, eds.), IEEE Computer Society, April 1997, pp. 106-115.
-
(1997)
Proceedings of the 5th Annual IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 106-115
-
-
Ebeling, C.1
Cronquist, D.C.2
Franklin, P.3
Secosky, J.4
Berg, S.G.5
-
135
-
-
0031743421
-
Profil hidden Markov model
-
S. R. Eddy, Profil hidden Markov model, Bioinformatics 14(2002), 755-763.
-
(2002)
Bioinformatics
, vol.14
, pp. 755-763
-
-
Eddy, S.R.1
-
137
-
-
84947604675
-
High-level area and performance estimation of hardware building blocks on FPGAs
-
Rolf Enzler, Tobias Jeger, Didier Cottet, and Gerhard Troster, High-level area and performance estimation of hardware building blocks on FPGAs, International Conference on Field-Programmable Logic and Applications, (2000), 525-534.
-
(2000)
International Conference on Field-programmable Logic and Applications
, pp. 525-534
-
-
Enzler, R.1
Jeger, T.2
Cottet, D.3
Troster, G.4
-
138
-
-
84891448867
-
-
April
-
Eonic, Eonic PowerFFT on-line datasheet, http://www.eonic.com/cgibin/ update frames.cgi?lang=uk&go=processors/powerfft.html, April 2004.
-
(2004)
Eonic, Eonic PowerFFT On-line Datasheet
-
-
-
139
-
-
84959101495
-
Organization of computer systems - The fixed plus variable structure computer
-
G. Estrin, Organization of computer systems - the fixed plus variable structure computer, Proceedings of the Western Joint Computer Conference, 1960, pp. 33-40.
-
(1960)
Proceedings of the Western Joint Computer Conference
, pp. 33-40
-
-
Estrin, G.1
-
140
-
-
0012089174
-
Parallel processing in a restructurable computer system
-
G. Estrin, B. Bussell, R. Turn, and J. Bibb, Parallel processing in a restructurable computer system, IEEE Transactions on Electronic Computers (1963), 747-755.
-
(1963)
IEEE Transactions on Electronic Computers
, pp. 747-755
-
-
Estrin, G.1
Bussell, B.2
Turn, R.3
Bibb, J.4
-
142
-
-
0036817822
-
Reconfigurable computer origins: The UCLA fixed-plus-variable (F+V) structure computer
-
Gerald Estrin, Reconfigurable computer origins: The UCLA fixed-plus-variable (F+V) structure computer, IEEE Annals of the History of Computing 24(2002), no. 4, 3-9.
-
(2002)
IEEE Annals of the History of Computing
, vol.24
, Issue.4
, pp. 3-9
-
-
Estrin, G.1
-
144
-
-
0037255072
-
The swiss-prot protein knowledgebase and its supplement TrEMBL in 2003
-
B. Boeckmann et al., The swiss-prot protein knowledgebase and its supplement TrEMBL in 2003, Nucleic Acids Research 31(2003), no. 1, 365-370.
-
(2003)
Nucleic Acids Research
, vol.31
, Issue.1
, pp. 365-370
-
-
Boeckmann, B.1
-
145
-
-
0020465927
-
Nucleotide sequence of bacteriophage lambda DNA
-
F. Sanger et al, Nucleotide sequence of bacteriophage lambda DNA, J. Molecular Biology 162(1982), no. 4, 729-773.
-
(1982)
J. Molecular Biology
, vol.162
, Issue.4
, pp. 729-773
-
-
Sanger, F.1
-
146
-
-
18444410747
-
The EMBL nucleotide sequence database
-
G. Stoesser et al., The EMBL nucleotide sequence database, Nucleic Acids Research 30(2002), 21-26.
-
(2002)
Nucleic Acids Research
, vol.30
, pp. 21-26
-
-
Stoesser, G.1
-
147
-
-
84891391525
-
-
ch. A Scalable Systolic Multiprocessor System dor Analysis of Biological Sequences, G. Borrielo and C. Ebeling
-
R. K. Singh et al., Research on integrated system, ch. A Scalable Systolic Multiprocessor System dor Analysis of Biological Sequences, G. Borrielo and C. Ebeling, 1993.
-
(1993)
Research on Integrated System
-
-
Singh, R.K.1
-
148
-
-
0030801002
-
Gapped BLAST and PSI-BLAST: A new generation of protein database search programs
-
S. F. Altschul et al., Gapped BLAST and PSI-BLAST: a new generation of protein database search programs, Nucleic Acids Research 25(1997), no. 17, 3389-3402.
-
(1997)
Nucleic Acids Research
, vol.25
, Issue.17
, pp. 3389-3402
-
-
Altschul, S.F.1
-
149
-
-
2442686815
-
Modelling disease outbreaks in realistic urban social networks
-
S. Eubank, H. Guclu, V. S. A. Kumar, M. V. Madhav, A. Srinivasan, Z. Toroczkai, and N. Wang, Modelling disease outbreaks in realistic urban social networks, Nature 429(2004), no. 6988, 180-184.
-
(2004)
Nature
, vol.429
, Issue.6988
, pp. 180-184
-
-
Eubank, S.1
Guclu, H.2
Kumar, V.S.A.3
Madhav, M.V.4
Srinivasan, A.5
Toroczkai, Z.6
Wang, N.7
-
151
-
-
84891380324
-
The NSEU sensitivity of static latch based FPGAs and flash storage devices
-
Washington, D. C. Richard Katz, ed., NASA Office of Logic Design, AIAA, September 2004, On-line proceedings
-
Joe Fabula, Austin Lesea, and Saar Drimer, The NSEU sensitivity of static latch based FPGAs and flash storage devices, Proceedings of the 7th Military and Aerospace Applications of Programmable Logic Devices (Washington, D. C.) (Richard Katz, ed.), NASA Office of Logic Design, AIAA, September 2004, On-line proceedings available through http://www.klabs.org., pp. L139.1-26.
-
Proceedings of the 7th Military and Aerospace Applications of Programmable Logic Devices
-
-
Fabula, J.1
Lesea, A.2
Drimer, S.3
-
152
-
-
0003807481
-
Real-time correlation-based stereo: Algorithm, implementations and applications
-
amd, and, INRIA
-
Olivier Faugeras, Thierry Vieville, E. Theron, J. Vuillemin, B. Hotz, Z. Zhang, L. Moll, P. Bertin, H. Mathieu, P. Fua amd G. Berry, and C. Proy, Real-time correlation-based stereo: Algorithm, implementations and applications, Technical Report 2013, INRIA, 1993.
-
(1993)
Technical Report
, vol.2013
-
-
Faugeras, O.1
Vieville, T.2
Theron, E.3
Vuillemin, J.4
Hotz, B.5
Zhang, Z.6
Moll, L.7
Bertin, P.8
Mathieu, H.9
Fua, P.10
Berry, G.11
Proy, C.12
-
154
-
-
0021645324
-
Median filtering by threshold decomposition
-
J. P. Fitch, E. J. Coyle, and N. C. Gallagher, Median filtering by threshold decomposition, IEEE Trans. Acoust., Speech, Signal Processing ASSP-32(1984), no. 6.
-
(1984)
IEEE Trans. Acoust., Speech, Signal Processing ASSP-32
, Issue.6
-
-
Fitch, J.P.1
Coyle, E.J.2
Gallagher, N.C.3
-
155
-
-
79955131529
-
Implementing the discrete cosine transform using the Xilinx Virtex FPGA
-
T. W. Fox and L. E. Turner, Implementing the discrete cosine transform using the Xilinx Virtex FPGA, Lecture Notes In Computer Science 2438(2002), 492-502.
-
(2002)
Lecture Notes in Computer Science
, vol.2438
, pp. 492-502
-
-
Fox, T.W.1
Turner, L.E.2
-
157
-
-
0026175524
-
Chortle-crf: Fast technology mapping for lookup table-based FPGAs
-
ACM Press
-
Robert Francis, Jonathan Rose, and Zvonko Vranesic, Chortle-crf: Fast technology mapping for lookup table-based FPGAs, DAC'91: Proceedings of the 28th conference on ACM/IEEE design automation, ACM Press, 1991, pp. 227-233.
-
(1991)
DAC'91: Proceedings of the 28th Conference on ACM/IEEE Design Automation
, pp. 227-233
-
-
Francis, R.1
Rose, J.2
Vranesic, Z.3
-
158
-
-
0025532128
-
Chortle: A technology mapping program for lookup table-based field programmable gate arrays
-
ACM Press
-
Robert J. Francis, Jonathan Rose, and Kevin Chung, Chortle: a technology mapping program for lookup table-based field programmable gate arrays, DAC'90: Proceedings of the 27th ACM/IEEE conference on Design automation, ACM Press, 1990, pp. 613-619.
-
(1990)
DAC'90: Proceedings of the 27th ACM/IEEE Conference on Design Automation
, pp. 613-619
-
-
Francis, R.J.1
Rose, J.2
Chung, K.3
-
160
-
-
0030352114
-
PCI-based WILDFIRE reconfigurable computing engines
-
Bellingham, WA, SPIE, SPIE, November
-
B. K. Fross, R. L. Donaldson, and D. J. Palmer, PCI-based WILDFIRE reconfigurable computing engines, Proceedings of SPIE-The International Society for Optical Engineering (Bellingham, WA), vol. 2914, SPIE, SPIE, November 1996, pp. 170-179.
-
(1996)
Proceedings of SPIE - The International Society for Optical Engineering
, vol.2914
, pp. 170-179
-
-
Fross, B.K.1
Donaldson, R.L.2
Palmer, D.J.3
-
161
-
-
11244277821
-
Radiation test results of the Virtex FPGA and ZBT SRAM for space based reconfigurable computing
-
Laurel, MD, September
-
Earl Fuller, Michael Caffrey, Phil Blain, Carl Carmichael, Noor Khalsa, and Anthony Salazar, Radiation test results of the Virtex FPGA and ZBT SRAM for space based reconfigurable computing, Proceedings of the Military and Aerospace Programmable Logic Devices International Conference (MAPLD) (Laurel, MD), September 1999.
-
(1999)
Proceedings of the Military and Aerospace Programmable Logic Devices International Conference (MAPLD)
-
-
Fuller, E.1
Caffrey, M.2
Blain, P.3
Carmichael, C.4
Khalsa, N.5
Salazar, A.6
-
162
-
-
33747915067
-
A field-programmable gate array for systolic computing
-
G. Borriello and C. Ebeling, eds.
-
F. Furtek, A field-programmable gate array for systolic computing, Research on Integrated Systems: Proceedings of the 1993 Symposium (G. Borriello and C. Ebeling, eds.), 1993, pp. 183-199.
-
(1993)
Research on Integrated Systems: Proceedings of the 1993 Symposium
, pp. 183-199
-
-
Furtek, F.1
-
164
-
-
0038514757
-
Relaxed annihilation-reordering lookahead QRD-RLS adaptive filters
-
Lijun Gao, K. K. Parhi, and Jun. Ma, Relaxed annihilation-reordering lookahead QRD-RLS adaptive filters, Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 35(2003), no. 2, 119-35.
-
(2003)
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology
, vol.35
, Issue.2
, pp. 119-135
-
-
Gao, L.1
Parhi, K.K.2
Ma, J.3
-
166
-
-
0036721254
-
Protein domain identification and improved sequence similarity searching using PSI-BLAST
-
R. A. Georges and J. Heringa, Protein domain identification and improved sequence similarity searching using PSI-BLAST, Proteins: Structure, Function, and Genetics 48(2002), 672-681.
-
(2002)
Proteins: Structure, Function, and Genetics
, vol.48
, pp. 672-681
-
-
Georges, R.A.1
Heringa, J.2
-
168
-
-
0025807368
-
Building and using a highly parallel programmable logic array
-
M. Gokhale, W. Holmes, A. Kopser, S. Lucas, R. Minnich, D. Sweely, and D. Lopresti, Building and using a highly parallel programmable logic array, IEEE Computer 24(1991), no. 1, 81-89.
-
(1991)
IEEE Computer
, vol.24
, Issue.1
, pp. 81-89
-
-
Gokhale, M.1
Holmes, W.2
Kopser, A.3
Lucas, S.4
Minnich, R.5
Sweely, D.6
Lopresti, D.7
-
169
-
-
33746318271
-
Data parallel C on a reconfigurable logic array
-
M. Gokhale and B. Schott, Data parallel C on a reconfigurable logic array, Journal of Supercomputing 9(1994), no. 3, 291-313.
-
(1994)
Journal of Supercomputing
, vol.9
, Issue.3
, pp. 291-313
-
-
Gokhale, M.1
Schott, B.2
-
171
-
-
84949813785
-
Stream-oriented FPGA computing in the Streams-C high level language
-
M. B. Gokhale, J. M. Stone, J. Arnold, and M. Kalinowski, Stream-oriented FPGA computing in the Streams-C high level language, IEEE International Symposium on FPGAs for Custom Computing Machines, 2000.
-
(2000)
IEEE International Symposium on FPGAs for Custom Computing Machines
-
-
Gokhale, M.B.1
Stone, J.M.2
Arnold, J.3
Kalinowski, M.4
-
172
-
-
79955152559
-
Granidt: Towards gigabit rate network intrusion detection technology
-
Maya Gokhale, Dave Dubois, Andy Dubois, Mike Boorman, Steve Poole, and Vic Hogsett, Granidt: Towards gigabit rate network intrusion detection technology., FPL, 2002, pp. 404-413.
-
(2002)
FPL
, pp. 404-413
-
-
Gokhale, M.1
Dubois, D.2
Dubois, A.3
Boorman, M.4
Poole, S.5
Hogsett, V.6
-
173
-
-
84891389935
-
NAPA C: Compiling for a hybrid RISC/FPGA archictecture
-
J. M. Arnold and K. L. Pocek, eds., April
-
Maya Gokhale and Janice Stone, NAPA C: compiling for a hybrid RISC/FPGA archictecture, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 1997.
-
(1997)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Gokhale, M.1
Stone, J.2
-
174
-
-
0034174187
-
PipeRench: A reconfigurable architecture and compiler
-
S. C. Goldstein, H. Schmit, M. Budiu, S. Cadambi, M. Moe, and R. R. Taylor, PipeRench: a reconfigurable architecture and compiler, IEEE Computer 33(2000), no. 4, 70-77.
-
(2000)
IEEE Computer
, vol.33
, Issue.4
, pp. 70-77
-
-
Goldstein, S.C.1
Schmit, H.2
Budiu, M.3
Cadambi, S.4
Moe, M.5
Taylor, R.R.6
-
175
-
-
35248868382
-
Using partial reconfiguration in cryptographic applications: An implementation of the idea algorithm
-
Ivan Gonzalez, Sergio Ĺopez-Buedo, Francisco J. Ǵomez, and Javier Mart́inez, Using partial reconfiguration in cryptographic applications: An implementation of the idea algorithm., FPL, 2003, pp. 194-203.
-
(2003)
FPL
, pp. 194-203
-
-
Gonzalez, I.1
Ĺopez-Buedo, S.2
Ǵomez, F.J.3
Mart́inez, J.4
-
177
-
-
0020484488
-
An improved algorithm for matching biological sequences
-
O. Gotoh, An improved algorithm for matching biological sequences, J. Mol. Biol. 162(1982), no. 3, 705-708.
-
(1982)
J. Mol. Biol.
, vol.162
, Issue.3
, pp. 705-708
-
-
Gotoh, O.1
-
178
-
-
0033563522
-
Whole genome protein domain analysis using a new method for domain clustering
-
J. Gouzy, F. Corpet, and D. Kahn, Whole genome protein domain analysis using a new method for domain clustering, Computers and Chemistry (1999), no. 23, 333-340.
-
(1999)
Computers and Chemistry
, Issue.23
, pp. 333-340
-
-
Gouzy, J.1
Corpet, F.2
Kahn, D.3
-
180
-
-
0002665034
-
FPGAs and DSPs for sonar processing-inner loop computations
-
Brigham Young University, Provo, UT, Published on
-
-. FPGAs and DSPs for sonar processing-inner loop computations, Technical Report CCL-1998-GN-1, Brigham Young University, Provo, UT, 1998, Published on http://splish.ee.byu.edu.
-
(1998)
Technical Report CCL-1998-GN-1
-
-
Graham, P.1
Nelson, B.2
-
181
-
-
84963969092
-
Instrumenting bitstreams for debugging FPGA circuits
-
IEEE Computer Society, April
-
Paul Graham, Brent Nelson, and Brad Hutchings, Instrumenting bitstreams for debugging FPGA circuits, Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Rohnert Park, CA), IEEE Computer Society, April 2001.
-
(2001)
Proceedings of the 9th Annual IEEE Symposium on Field-programmable Custom Computing Machines (Rohnert Park, CA)
-
-
Graham, P.1
Nelson, B.2
Hutchings, B.3
-
182
-
-
12444312982
-
-
Ph. D. thesis, Brigham Young University, Provo, UT, December
-
Paul S. Graham, Logical hardware debuggers for FPGA-based systems, Ph. D. thesis, Brigham Young University, Provo, UT, December 2001.
-
(2001)
Logical Hardware Debuggers for FPGA-based Systems
-
-
Graham, P.S.1
-
183
-
-
0035234664
-
Sequence analysis with the kestrel SIMD parallel processor
-
L. Grate, M. Diekhans, D. Dahle, and R. Hughey, Sequence analysis with the kestrel SIMD parallel processor, Pacific Symposium on Biocomputing (Hawaii), 2001.
-
(2001)
Pacific Symposium on Biocomputing (Hawaii)
-
-
Grate, L.1
Diekhans, M.2
Dahle, D.3
Hughey, R.4
-
184
-
-
0036282064
-
Object-oriented reconfigurable processing for wireless networks
-
28 April-2 May 2002, New York, NY, USA, Piscataway, NJ, USA: IEEE, 2002
-
A. A. Gray, C. Lee, P. Arabshahi, and J. Srinivasan, Object-oriented reconfigurable processing for wireless networks, IEEE International Conference on Communications, 28 April-2 May 2002, New York, NY, USA, Piscataway, NJ, USA: IEEE, 2002, 2002, pp. 497-501 vol. 1.
-
(2002)
IEEE International Conference on Communications
, vol.1
, pp. 497-501
-
-
Gray, A.A.1
Lee, C.2
Arabshahi, P.3
Srinivasan, J.4
-
186
-
-
34547879755
-
JBits: A Javabased interface for reconfigurable computing
-
Laurel, MD, September
-
Steven A. Guccione, Delon Levi, and Prasanna Sundararajan, JBits: A Javabased interface for reconfigurable computing, Second Annual Military and Aerospace Applications of Programmable Devices and Technologies Conference (MAPLD) (Laurel, MD), September 1999.
-
(1999)
Second Annual Military and Aerospace Applications of Programmable Devices and Technologies Conference (MAPLD)
-
-
Guccione, S.A.1
Levi, D.2
Sundararajan, P.3
-
187
-
-
0031457974
-
Samba: Hardware accelerator for biological sequence comparison
-
P. Guerdoux and D. Lavenier, Samba: Hardware accelerator for biological sequence comparison, CABIOS 13(1997), no. 6, 609-615.
-
(1997)
CABIOS
, vol.13
, Issue.6
, pp. 609-615
-
-
Guerdoux, P.1
Lavenier, D.2
-
190
-
-
14644394846
-
Cluster of reconfigurable nodes for scanning large genomic banks
-
S. Guyetant, M. Giraud, S. Derrien, L. L'Hours, S. Rubini, F. Raimbault, and D. Lavenier, Cluster of reconfigurable nodes for scanning large genomic banks, Parallel Computing 31(2005), no. 1.
-
(2005)
Parallel Computing
, vol.31
, Issue.1
-
-
Guyetant, S.1
Giraud, M.2
Derrien, S.3
L'Hours, L.4
Rubini, S.5
Raimbault, F.6
Lavenier, D.7
-
192
-
-
0035211908
-
A system for synthesizing optimized FPGA hardware from MATLAB
-
Malay Haldar, Anshuman Nayak, Alok Choudhary, and Prith Banerjee, A system for synthesizing optimized FPGA hardware from MATLAB, Proceedings of the 2001 IEEE/ACM International Conference on Computer-aided design (2001), 314-319.
-
(2001)
Proceedings of the 2001 IEEE/ACM International Conference on Computer-aided Design
, pp. 314-319
-
-
Haldar, M.1
Nayak, A.2
Choudhary, A.3
Banerjee, P.4
-
193
-
-
34547218790
-
Progrape-1: A programmable special-purpose computer for many-body simulations
-
Tsuyoshi Hamada, Toshiyuki Fukushige, Atsushi Kawai, and Joshiyuki Makino, Progrape-1: A programmable special-purpose computer for many-body simulations, IEEE International Symposium on FPGAs for Custom Computing Machines (1998), 256-257.
-
(1998)
IEEE International Symposium on FPGAs for Custom Computing Machines
, pp. 256-257
-
-
Hamada, T.1
Fukushige, T.2
Kawai, A.3
Makino, J.4
-
194
-
-
0003846891
-
Hardware implementation of the improved WEP and RC4 encryption algorithms for wireless terminals
-
September
-
P. Hamalainen et al., Hardware implementation of the improved WEP and RC4 encryption algorithms for wireless terminals, European Signal Processing Conference (EUSIPCO'2000), September 2000.
-
(2000)
European Signal Processing Conference (EUSIPCO'2000)
-
-
Hamalainen, P.1
-
195
-
-
80052744203
-
Swasad: An ASIC design for high speed DNA sequence matching
-
IEEE CSP, ed.
-
T. Han and S. Parameswaran, Swasad: An ASIC design for high speed DNA sequence matching, 15th Int. Conf. on VLSI Design (IEEE CSP, ed.), 2002.
-
(2002)
15th Int. Conf. on VLSI Design
-
-
Han, T.1
Parameswaran, S.2
-
196
-
-
0003483697
-
Innerview hardware debugger: A logic analysis tool for the virtual wires emulation system
-
February
-
Silvina Zimi Hanono, Innerview hardware debugger: A logic analysis tool for the virtual wires emulation system, Master's thesis, Massachusetts Institute of Technology, February 1995.
-
(1995)
Master's Thesis, Massachusetts Institute of Technology
-
-
Hanono, S.Z.1
-
198
-
-
84945329796
-
A new FPGA architecture for wordoriented datapaths
-
R. Hartenstein and M. Z. Servit, eds., Springer-Verlag, September
-
R. Hartenstein, R. Kress, and H. Reinig, A new FPGA architecture for wordoriented datapaths, Field-Programmable Logic: Architectures, Synthesis and Applications. 4th International Workshop on Field-Programmable Logic and Applications (Prague, Czech Republic) (R. Hartenstein and M. Z. Servit, eds.), Springer-Verlag, September 1994, pp. 144-155.
-
(1994)
Field-programmable Logic: Architectures, Synthesis and Applications. 4th International Workshop on Field-programmable Logic and Applications (Prague, Czech Republic)
, pp. 144-155
-
-
Hartenstein, R.1
Kress, R.2
Reinig, H.3
-
200
-
-
0036814259
-
A software defined radio platform with direct conversion: SOPRANO
-
S. Haruyama, R. Morelos-Zaragoza, and Y. Sanada, A software defined radio platform with direct conversion: SOPRANO, Wireless Personal Communications 23(2002), no. 1, 67-76.
-
(2002)
Wireless Personal Communications
, vol.23
, Issue.1
, pp. 67-76
-
-
Haruyama, S.1
Morelos-Zaragoza, R.2
Sanada, Y.3
-
201
-
-
0031360911
-
GARP: A MIPS processor with a reconfigurable coprocessor
-
J. Arnold and K. L. Pocek, eds., April
-
John R. Hauser and John Wawrzynek, GARP: A MIPS processor with a reconfigurable coprocessor, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (J. Arnold and K. L. Pocek, eds.), April 1997.
-
(1997)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Hauser, J.R.1
Wawrzynek, J.2
-
202
-
-
84968924443
-
Protein modelling using hidden markov models: Analysis of globins
-
January
-
D. Haussler, A. Krogh, I. S. Mian, and K. Sjolander, Protein modelling using hidden markov models: Analysis of globins, Hawaii Int. Conf. System Sciences, January 1993.
-
(1993)
Hawaii Int. Conf. System Sciences
-
-
Haussler, D.1
Krogh, A.2
Mian, I.S.3
Sjolander, K.4
-
203
-
-
84891381116
-
Digital signal processing at 1ghz in a field-programmable object array
-
Washington, D. C., NASA Office of Logic Design, September
-
Dirk Helgemo, Digital signal processing at 1ghz in a field-programmable object array, Proceedings of 6th Annual Military and Aerospace Programmable Logic Devices International Conference (Washington, D. C.), NASA Office of Logic Design, September 2003, http://www.klabs.org, pp. D1.1-5.
-
(2003)
Proceedings of 6th Annual Military and Aerospace Programmable Logic Devices International Conference
-
-
Helgemo, D.1
-
204
-
-
84891378958
-
Issues in debugging highly parallel FPGA-based applications derived from source code
-
Piscataway, NJ, USA: IEEE, 2003, January
-
K. S. Hemmert and B. Hutchings, Issues in debugging highly parallel FPGA-based applications derived from source code., Proceedings of the Asia and South Pacific Design Automation Conference (Kitakyushu, Japan), Piscataway, NJ, USA: IEEE, 2003, January 2003, pp. 483-488.
-
(2003)
Proceedings of the Asia and South Pacific Design Automation Conference (Kitakyushu, Japan)
, pp. 483-488
-
-
Hemmert, K.S.1
Hutchings, B.2
-
205
-
-
84942942731
-
Source level debugger for the sea cucumber synthesizing compiler
-
April
-
K. Scott Hemmert, Justin L. Tripp, Brad L. Hutchings, and Preston A. Jackson, Source level debugger for the sea cucumber synthesizing compiler, Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, IEEE Computer Society, April 2003, pp. 228-237.
-
(2003)
Proceedings of the 11th Annual IEEE Symposium on Field-programmable Custom Computing Machines, IEEE Computer Society
, pp. 228-237
-
-
Scott Hemmert, K.1
Tripp, J.L.2
Hutchings, B.L.3
Jackson, P.A.4
-
206
-
-
0026458378
-
Amino acid substitution matrices from protein blocks
-
J. G. Henikoff and S. Henikoff, Amino acid substitution matrices from protein blocks, Proc. Natl. Acad. Sci. USA 89(1992), 10915-10919.
-
(1992)
Proc. Natl. Acad. Sci. USA
, vol.89
, pp. 10915-10919
-
-
Henikoff, J.G.1
Henikoff, S.2
-
208
-
-
84891397925
-
This is documentation which came with Teramac held in the BYU Configurable Computing Lab's document library
-
Hewlett Packard, Palo Alto, CA, Tmac, Aug.
-
Hewlett Packard, Palo Alto, CA, Tmac, Aug. 1995, This is documentation which came with Teramac held in the BYU Configurable Computing Lab's document library. Apparently, this manual was a chapter in some internal document created by HP Labs, but the name of the document is not known.
-
(1995)
Apparently, This Manual Was a Chapter in Some Internal Document Created by HP Labs
-
-
-
210
-
-
84950148992
-
Searching genetic databases on splash 2
-
D. T. Hoang, Searching genetic databases on splash 2, FCCM'93, IEEE Workshop on FPGAs for Custom Computing Machines (Napa, California), 1993, pp. 185-191.
-
(1993)
FCCM'93, IEEE Workshop on FPGAs for Custom Computing Machines (Napa, California)
, pp. 185-191
-
-
Hoang, D.T.1
-
211
-
-
18644367181
-
A 21.54 gbits/s fully pipelined AES processor on FPGA
-
J. M. Arnold and K. L. Pocek, eds., April
-
Alireza Hodjat and Ingrid Verbauwhede, A 21.54 gbits/s fully pipelined AES processor on FPGA, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2004.
-
(2004)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Hodjat, A.1
Verbauwhede, I.2
-
212
-
-
0019558332
-
An economical class of digital filters for decimation and interpolation
-
E. B. Hogenauer, An economical class of digital filters for decimation and interpolation, IEEE Transactions on Acoustics, Speech and Signal Processing ASSP/29(1981), no. 2, 155-62.
-
(1981)
IEEE Transactions on Acoustics, Speech and Signal Processing ASSP
, vol.29
, Issue.2
, pp. 155-162
-
-
Hogenauer, E.B.1
-
213
-
-
0037411283
-
An efficient radio transmission scheme of configuration data for FPGA-based downloadable software radio communication systems
-
M. Honda, H. Harada, and M. Fujise, An efficient radio transmission scheme of configuration data for FPGA-based downloadable software radio communication systems, Electronics and Communications in Japan, Part 1 (Communications) 86(2003), no. 7, 31-41.
-
(2003)
Electronics and Communications in Japan, Part 1 (Communications)
, vol.86
, Issue.7
, pp. 31-41
-
-
Honda, M.1
Harada, H.2
Fujise, M.3
-
216
-
-
0034206286
-
Redundant constant-factor implementation of multi-dimensional CORDIC and its application to complex SVD
-
Shen-Fu Hsiao, Chun-Yi Lau, and J. M. Delosme, Redundant constant-factor implementation of multi-dimensional CORDIC and its application to complex SVD, Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 25(2000), no. 2, 155-66.
-
(2000)
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology
, vol.25
, Issue.2
, pp. 155-166
-
-
Hsiao, S.-F.1
Lau, C.-Y.2
Delosme, J.M.3
-
217
-
-
0026898138
-
CORDIC-based VLSI architectures for digital signal processing
-
Y. H. Hu, CORDIC-based VLSI architectures for digital signal processing, IEEE Signal Processing Magazine 9(1992), no. 3, 16-35.
-
(1992)
IEEE Signal Processing Magazine
, vol.9
, Issue.3
, pp. 16-35
-
-
Hu, Y.H.1
-
218
-
-
84891403724
-
Massively parallel biosequence analysis
-
University of California, Santa Cruz
-
R. Hughey, Massively parallel biosequence analysis, Tech. Report UCSC-CRL-93-14, University of California, Santa Cruz, 1993.
-
(1993)
Tech. Report UCSC-CRL-93-14
-
-
Hughey, R.1
-
219
-
-
0030462897
-
Parallel hardware for sequence comparison alignement
-
-. Parallel hardware for sequence comparison alignement, CABIOS 12(1996), no. 6, 473-479.
-
(1996)
CABIOS
, vol.12
, Issue.6
, pp. 473-479
-
-
Hughey, R.1
-
220
-
-
0346869047
-
Recent improvements to the PROSITE database
-
N. Hulo, C. J. Sigrist, V. Le Saux, P. S. Langendijk-Genevaux, L. Bordoli, A. Gattiker, E. De Castro, P. Bucher, and A. Bairoch, Recent improvements to the PROSITE database, Nucl. Acids. Res. 32(2004), D134-D137.
-
(2004)
Nucl. Acids. Res.
, vol.32
-
-
Hulo, N.1
Sigrist, C.J.2
Le Saux, V.3
Langendijk-Genevaux, P.S.4
Bordoli, L.5
Gattiker, A.6
De Castro, E.7
Bucher, P.8
Bairoch, A.9
-
221
-
-
0033488532
-
A CAD suite for high-performance FPGA design
-
K. Pocek and J. Arnold, eds., IEEE Computer Society, April
-
B. Hutchings, P. Bellows, J. Hawkins, S. Hemmert, B. Nelson, and M. Rytting, A CAD suite for high-performance FPGA design, Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (K. Pocek and J. Arnold, eds.), IEEE Computer Society, April 1999, pp. 12-24.
-
(1999)
Proceedings of the Seventh Annual IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 12-24
-
-
Hutchings, B.1
Bellows, P.2
Hawkins, J.3
Hemmert, S.4
Nelson, B.5
Rytting, M.6
-
222
-
-
84950138443
-
Assisting network intrusion detection with reconfigurable hardware
-
J. M. Arnold and K. L. Pocek, eds., April
-
B. L. Hutchings, R. Franklin, and D. Carver, Assisting network intrusion detection with reconfigurable hardware, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2002.
-
(2002)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Hutchings, B.L.1
Franklin, R.2
Carver, D.3
-
225
-
-
14544297444
-
FPGA implementation of an alldigital T/2-spaced QPSK receiver with Farrow interpolation timing synchronizer and recursive Costas loop
-
4-5 Aug. 2004, Fukuoka, Japan, Piscataway, NJ, USA: IEEE, 2004
-
Jeng-Kuang Hwang and Cha-Hsing Chu, FPGA implementation of an alldigital T/2-spaced QPSK receiver with Farrow interpolation timing synchronizer and recursive Costas loop, 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits, 4-5 Aug. 2004, Fukuoka, Japan, Piscataway, NJ, USA: IEEE, 2004, 2004, pp. 248-51.
-
(2004)
2004 IEEE Asia-pacific Conference on Advanced System Integrated Circuits
, pp. 248-251
-
-
Hwang, J.-K.1
Chu, C.-H.2
-
226
-
-
0042471969
-
Implementation of smart antenna base station for IS-2000 1X
-
Heungjae Im, Seungheon Hyeon, Weon-Cheol Lee, Hwanseog Bahk, Cheolhoon Lee, Jonghun Kim, and Seungwon Choi, Implementation of smart antenna base station for IS-2000 1X, IEEE Vehicular Technology Conference 57(2003), no. 1, 582-586.
-
(2003)
IEEE Vehicular Technology Conference
, vol.57
, Issue.1
, pp. 582-586
-
-
Im, H.1
Hyeon, S.2
Lee, W.-C.3
Bahk, H.4
Lee, C.5
Kim, J.6
Choi, S.7
-
227
-
-
84891427511
-
-
Annapolis Micro Systems Inc.
-
Annapolis Micro Systems Inc., Firebird reconfigurable computer, http://www.annapmicro.com, 2004.
-
(2004)
Firebird Reconfigurable Computer
-
-
-
228
-
-
84891416203
-
A pattern recognition system using evolvable hardware
-
M. Iwata, I. Kajitani, H. Yamada, H. Iba, and T. Higuchi, A pattern recognition system using evolvable hardware, Proceedings of Parallel Problem Solving from Nature IV - PPSN IV, 1996.
-
(1996)
Proceedings of Parallel Problem Solving from Nature IV - PPSN IV
-
-
Iwata, M.1
Kajitani, I.2
Yamada, H.3
Iba, H.4
Higuchi, T.5
-
229
-
-
0003409571
-
Fundamentals of digital image processing
-
Prentice-Hall, Englewood Cliffs, NJ
-
Anil K. Jain, Fundamentals of digital image processing, Information and System Sciences Series, Prentice-Hall, Englewood Cliffs, NJ, 1989.
-
(1989)
Information and System Sciences Series
-
-
Jain, A.K.1
-
231
-
-
0003851051
-
-
Prentice Hall Signal Processing Series, Prentice-Hall, Englewood Cliffs, NJ
-
Don H. Johnson and Dan E. Dudgeon, Array signal processing: Concepts and techniques, Prentice Hall Signal Processing Series, Prentice-Hall, Englewood Cliffs, NJ, 1993.
-
(1993)
Array Signal Processing: Concepts and Techniques
-
-
Johnson, D.H.1
Dudgeon, D.E.2
-
232
-
-
84948591324
-
DAG-Map: Graphbased FPGA technology mapping for delay optimization
-
K.-C. Chen, J. Cong, Y. Ding, A. B. Kahng, and P. Trajmar, DAG-Map: Graphbased FPGA technology mapping for delay optimization, IEEE Design and Test of Computers 9(1992), no. 3, 7-20.
-
(1992)
IEEE Design and Test of Computers
, vol.9
, Issue.3
, pp. 7-20
-
-
Chen, K.-C.1
Cong, J.2
Ding, Y.3
Kahng, A.B.4
Trajmar, P.5
-
233
-
-
30544451910
-
An efficient algorithm for finding the minimalarea FPGA technology mapping
-
Chi-Chou Kao and Yen-Tai Lai, An efficient algorithm for finding the minimalarea FPGA technology mapping, ACM Trans. Des. Autom. Electron. Syst. 10(2005), no. 1, 168-186.
-
(2005)
ACM Trans. Des. Autom. Electron. Syst.
, vol.10
, Issue.1
, pp. 168-186
-
-
Kao, C.-C.1
Lai, Y.-T.2
-
235
-
-
84876391286
-
JRoute: A run-time routing API for FPGA hardware
-
Jośe Romlin et al., eds., Lecture Notes in Computer Science, Springer Verlag, May 2000, Seventh Reconfigurable Architectures Workshop RAW
-
Eric Keller, JRoute: A run-time routing API for FPGA hardware, Parallel and Distributed Processing: 15 IPDPS 2000 Workshops (Cancun, Mexico) (Jośe Romlin et al., eds.), Lecture Notes in Computer Science, vol. 1800, Springer Verlag, May 2000, Seventh Reconfigurable Architectures Workshop (RAW 2000), pp. 874-881.
-
(2000)
Parallel and Distributed Processing: 15 IPDPS 2000 Workshops (Cancun, Mexico)
, vol.1800
, pp. 874-881
-
-
Keller, E.1
-
236
-
-
79955157698
-
Fully parameterizable elliptic curve cryptography processor over GF (2)
-
Tim Kerins, Emanuel Popovici, William P. Marnane, and Patrick Fitzpatrick, Fully parameterizable elliptic curve cryptography processor over GF (2)., FPL, 2002, pp. 750-759.
-
(2002)
FPL
, pp. 750-759
-
-
Kerins, T.1
Popovici, E.2
Marnane, W.P.3
Fitzpatrick, P.4
-
237
-
-
0037281888
-
Design of Jacobi EVD processor based on CORDIC for DOA estimation with MUSIC algorithm
-
M. Kim, K. Ichige, and H. Arai, Design of Jacobi EVD processor based on CORDIC for DOA estimation with MUSIC algorithm, IEICE Transactions on Communications E85/B(2002;2003), no. 12, 2648-55.
-
(2002)
IEICE Transactions on Communications E85/B
, Issue.12
, pp. 2648-2655
-
-
Kim, M.1
Ichige, K.2
Arai, H.3
-
238
-
-
0035167626
-
Implementation of 2D-DCT on xc4000 series FPGA using DFT-based DSFG and DA architectures
-
IEEE, October
-
G. Kiryukhin and M. Celenk, Implementation of 2D-DCT on xc4000 series FPGA using DFT-based DSFG and DA architectures, Proceedings of the International Conference on Image Processing (Thessaloniki, Greece), vol. 3, IEEE, October 2001, pp. 302-305.
-
(2001)
Proceedings of the International Conference on Image Processing (Thessaloniki, Greece)
, vol.3
, pp. 302-305
-
-
Kiryukhin, G.1
Celenk, M.2
-
239
-
-
63449131348
-
Hardware implementation of the RC4 stream cipher
-
December
-
P. Kitsos, G. Kostopoulos, N. Sklavos, and O. Koufopavlou, Hardware implementation of the RC4 stream cipher, Proceedings of the 46th IEEE Midwest Symposium on Circiuts and Systems, December 2003.
-
(2003)
Proceedings of the 46th IEEE Midwest Symposium on Circiuts and Systems
-
-
Kitsos, P.1
Kostopoulos, G.2
Sklavos, N.3
Koufopavlou, O.4
-
240
-
-
84891412237
-
Implementation of filter banks in field programmable gate arrays (fpga)
-
18-21 Oct. 1994, Dallas, TX, USA, Waltham, MA, USA: DSP Associates, 1994
-
B. Klock, L. Utne, and J. Hakon Husoy, Implementation of filter banks in field programmable gate arrays (fpga), 5th International Conference on Signal Processing Applications and Technology, 18-21 Oct. 1994, Dallas, TX, USA, Waltham, MA, USA: DSP Associates, 1994, 1994, pp. 441-445 vol. 1.
-
(1994)
5th International Conference on Signal Processing Applications and Technology
, vol.1
, pp. 441-445
-
-
Klock, B.1
Utne, L.2
Hakon Husoy, J.3
-
242
-
-
0035188648
-
Towards the implementation of a WCDMA AAA receiver on an FPGA software radio platform
-
6-9 May 2001, Rhodes, Greece, Piscataway, NJ, USA: IEEE, 2001
-
S. P. Korah and S. A. McDonald, Towards the implementation of a WCDMA AAA receiver on an FPGA software radio platform, IEEE VTS 53rd Vehicular Technology Conference. Proceedings, 6-9 May 2001, Rhodes, Greece, Piscataway, NJ, USA: IEEE, 2001, 2001, pp. 1917-21 vol. 3.
-
(2001)
IEEE VTS 53rd Vehicular Technology Conference. Proceedings
, vol.3
, pp. 1917-1921
-
-
Korah, S.P.1
McDonald, S.A.2
-
243
-
-
0013015990
-
-
second ed., A. K. Peters, Natick, MA
-
Israel Koren, Computer arithmetic algorithms, second ed., A. K. Peters, Natick, MA, 2002.
-
(2002)
Computer Arithmetic Algorithms
-
-
Koren, I.1
-
244
-
-
0003831589
-
Digital signal processing for multimedia systems
-
Marcel Dekker, Inc., Chapter written by H. Dawid and H. Meyr
-
K. Parhi and T. Nishitan (eds.), Digital signal processing for multimedia systems, Signal Processing and Communications Series, ch. CORDIC Algorithms and Architectures, Marcel Dekker, Inc., 1999, Chapter written by H. Dawid and H. Meyr.
-
(1999)
Signal Processing and Communications Series, Ch. CORDIC Algorithms and Architectures
-
-
Parhi, K.1
Nishitan, T.2
-
245
-
-
11244355264
-
Biosequence similarity search on the mercury system
-
P. Krishnamurthy, J. Buhler, R. Chamberlain, M. Franklin, K. Gyang, and J. Lancaster, Biosequence similarity search on the mercury system, 15th IEEE Int. Conference on Application-Specific Systems, Architectures and Processors (ASAP'04), 2004.
-
(2004)
15th IEEE Int. Conference on Application-specific Systems, Architectures and Processors (ASAP'04)
-
-
Krishnamurthy, P.1
Buhler, J.2
Chamberlain, R.3
Franklin, M.4
Gyang, K.5
Lancaster, J.6
-
247
-
-
24944546298
-
Efficient m linear array for multiplication in GF (2) using a normal basis for elliptic curve cryptography
-
Soonhak Kwon, Kris Gaj, Chang Hoon Kim, and Chun Pyo Hong, Efficient m linear array for multiplication in GF (2) using a normal basis for elliptic curve cryptography., CHES, 2004, pp. 76-91.
-
(2004)
CHES
, pp. 76-91
-
-
Kwon, S.1
Gaj, K.2
Kim, C.H.3
Hong, C.P.4
-
250
-
-
18644381370
-
Hardware-in-the-loop evolution of a 3-bit multiplier
-
J. Arnold and K. L. Pocek, eds., Los Alamitos, CA, USA: IEEE Comput. Soc, 2004, April
-
G. V. Larchev and J. D. Lohn, Hardware-in-the-loop evolution of a 3-bit multiplier, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (J. Arnold and K. L. Pocek, eds.), Los Alamitos, CA, USA: IEEE Comput. Soc, 2004, April 2004, pp. 277-278.
-
(2004)
12th Annual IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 277-278
-
-
Larchev, G.V.1
Lohn, J.D.2
-
251
-
-
0035341569
-
A FPGA-based library for on-line signal processing
-
D. Lau, A. Schneider, M. D. Ercegovac, and J. Villasenor, A FPGA-based library for on-line signal processing, Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 28(2001), no. 1/2, 129-143.
-
(2001)
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology
, vol.28
, Issue.1-2
, pp. 129-143
-
-
Lau, D.1
Schneider, A.2
Ercegovac, M.D.3
Villasenor, J.4
-
252
-
-
1642328521
-
A reconfigurable parallel disk system for filtering genomic banks
-
Las Vegas, Nevada, USA
-
D. Lavenier, S. Guyetant, S. Derrien, and S. Rubini, A reconfigurable parallel disk system for filtering genomic banks, ERSA'03, Engineering of Reconfigurable Systems and Algorithms (Las Vegas, Nevada, USA), 2003.
-
(2003)
ERSA'03, Engineering of Reconfigurable Systems and Algorithms
-
-
Lavenier, D.1
Guyetant, S.2
Derrien, S.3
Rubini, S.4
-
253
-
-
84882536619
-
An algorithm for path connections and its applications
-
C. Y. Lee, An algorithm for path connections and its applications, IRE Transactions EC-10(1961), 346-365.
-
(1961)
IRE Transactions EC
, vol.10
, pp. 346-365
-
-
Lee, C.Y.1
-
255
-
-
78650672314
-
Compiling policy descriptions into reconfigurable firewall processors
-
J. M. Arnold and K. L. Pocek, eds., April
-
T. K. Lee, S. Yusuf, W. Luk, M. Sloman, E. Lupu, and N. Dulay, Compiling policy descriptions into reconfigurable firewall processors, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2003.
-
(2003)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Lee, T.K.1
Yusuf, S.2
Luk, W.3
Sloman, M.4
Lupu, E.5
Dulay, N.6
-
257
-
-
0036384064
-
FPGA implementation of a serially organized DA multichannel FIR filter
-
24-26 Feb, Monterey, CA, USA, New York, NY, USA: ACM, 2002, 2002
-
J. Leon and M. Melgarejo, FPGA implementation of a serially organized DA multichannel FIR filter, FPGA'02: ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 24-26 Feb. 2002, Monterey, CA, USA, New York, NY, USA: ACM, 2002, 2002.
-
(2002)
FPGA'02: ACM/SIGDA International Symposium on Field Programmable Gate Arrays
-
-
Leon, J.1
Melgarejo, M.2
-
258
-
-
84949782598
-
FPGA implementation of a microcoded elliptic curve cryptographic processor
-
J. M. Arnold and K. L. Pocek, eds., April
-
K. H. Leung, K. W. Ma, et al., FPGA implementation of a microcoded elliptic curve cryptographic processor, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2000.
-
(2000)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Leung, K.H.1
Ma, K.W.2
-
260
-
-
20344375004
-
The Stratix II logic and routing architecture
-
ACM Press
-
David Lewis, Elias Ahmed, Gregg Baeckler, Vaughn Betz, Mark Bourgeault, David Cashman, David Galloway, Mike Hutton, Chris Lane, Andy Lee, Paul Leventis, Sandy Marquardt, Cameron McClintock, Ketan Padalia, Bruce Pedersen, Giles Powell, Boris Ratchev, Srinivas Reddy, Jay Schleicher, Kevin Stevens, Richard Yuan, Richard Cliff, and Jonathan Rose, The Stratix II logic and routing architecture, FPGA'05: Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays, ACM Press, 2005, pp. 14-20.
-
(2005)
FPGA'05: Proceedings of the 2005 ACM/SIGDA 13th International Symposium on Field-programmable Gate Arrays
, pp. 14-20
-
-
Lewis, D.1
Ahmed, E.2
Baeckler, G.3
Betz, V.4
Bourgeault, M.5
Cashman, D.6
Galloway, D.7
Hutton, M.8
Lane, C.9
Lee, A.10
Leventis, P.11
Marquardt, S.12
McClintock, C.13
Padalia, K.14
Pedersen, B.15
Powell, G.16
Ratchev, B.17
Reddy, S.18
Schleicher, J.19
Stevens, K.20
Yuan, R.21
Cliff, R.22
Rose, J.23
more..
-
261
-
-
0038687690
-
The Stratix routing and logic architecture
-
ACM Press
-
David Lewis, Vaughn Betz, David Jefferson, Andy Lee, Chris Lane, Paul Leventis, Sandy Marquardt, Cameron McClintock, Bruce Pedersen, Giles Powell, Srinivas Reddy, Chris Wysocki, Richard Cliff, and Jonathan Rose, The Stratix routing and logic architecture, Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays, ACM Press, 2003, pp. 12-20.
-
(2003)
Proceedings of the 2003 ACM/SIGDA Eleventh International Symposium on Field Programmable Gate Arrays
, pp. 12-20
-
-
Lewis, D.1
Betz, V.2
Jefferson, D.3
Lee, A.4
Lane, C.5
Leventis, P.6
Marquardt, S.7
McClintock, C.8
Pedersen, B.9
Powell, G.10
Reddy, S.11
Wysocki, C.12
Cliff, R.13
Rose, J.14
-
264
-
-
77955122270
-
Feasibility of fixed-point transversal adaptive filters in FPGA devices with embedded DSP blocks
-
30 June-2 July 2003, Calgary, Alta., Canada Y. Badawy, W.; Ismail, ed., Los Alamitos, CA, USA: IEEE Comput. Soc, 2003
-
A. Y. Lin, K. S. Gugel, and J. C. Principe, Feasibility of fixed-point transversal adaptive filters in FPGA devices with embedded DSP blocks, the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 30 June-2 July 2003, Calgary, Alta., Canada (Y. Badawy, W.; Ismail, ed.), Los Alamitos, CA, USA: IEEE Comput. Soc, 2003, 2003, pp. 157-60.
-
(2003)
The 3rd IEEE International Workshop on System-on-chip for Real-time Applications
, pp. 157-160
-
-
Lin, A.Y.1
Gugel, K.S.2
Principe, J.C.3
-
265
-
-
84947979919
-
WASMII: A data driven computer on a virtual hardware
-
D. A. Buell and K. L. Pocek, eds., April
-
X. P. Ling and H. Amano, WASMII: a data driven computer on a virtual hardware, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (D. A. Buell and K. L. Pocek, eds.), April 1993, pp. 33-42.
-
(1993)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 33-42
-
-
Ling, X.P.1
Amano, H.2
-
266
-
-
0022219496
-
-
H. Fuchs, Ed. Rockville, MD: Computer Science Press
-
R. J. Lipton and D. P. Lopresti, A systolic array for rapid string comparison, pp. 363-376, H. Fuchs, Ed. Rockville, MD: Computer Science Press, 2004.
-
(2004)
A Systolic Array for Rapid String Comparison
, pp. 363-376
-
-
Lipton, R.J.1
Lopresti, D.P.2
-
267
-
-
0141788607
-
Implementation of adaptive beamforming based on QR decomposition for CDMA
-
6-10 April 2003, Hong Kong, China, Piscataway, NJ, USA: IEEE, 2003
-
Zhaohui Liu and J. V. McCanny, Implementation of adaptive beamforming based on QR decomposition for CDMA, International Conference on Acoustics, Speech and Signal Processing (ICASSP'03), 6-10 April 2003, Hong Kong, China, Piscataway, NJ, USA: IEEE, 2003, 2003, pp. II - 609-12 vol. 2.
-
(2003)
International Conference on Acoustics, Speech and Signal Processing (ICASSP'03)
, vol.2
-
-
Liu, Z.1
McCanny, J.V.2
-
268
-
-
84957017010
-
A genetic representation for evolutionary fault recovery in Virtex FPGAs
-
Springer-Verlag, January 2003
-
Jason Lohn, Greg Larchev, and Ronald DeMara, A genetic representation for evolutionary fault recovery in Virtex FPGAs, Proceedings of the 5th International Conference on Evolvable Systems, Lecture Notes in Computer Science, vol. 2606, Springer-Verlag, January 2003, pp. 47-56.
-
Proceedings of the 5th International Conference on Evolvable Systems, Lecture Notes in Computer Science
, vol.2606
, pp. 47-56
-
-
Lohn, J.1
Larchev, G.2
De Mara, R.3
-
269
-
-
0036999908
-
Dynamically inserting, operating, and eliminating thermal sensors of fpga-based systems
-
S. Lopez-Buedo, J. Garrido, and E. Boemo, Dynamically inserting, operating, and eliminating thermal sensors of fpga-based systems, IEEE Transactions on Components and Packaging Technologies 25(2002), no. 4, 561-566.
-
(2002)
IEEE Transactions on Components and Packaging Technologies
, vol.25
, Issue.4
, pp. 561-566
-
-
Lopez-Buedo, S.1
Garrido, J.2
Boemo, E.3
-
270
-
-
0023382868
-
P-NAC: A systolic array for comparing nucleic acid sequences
-
D. Lopresti, P-NAC: A systolic array for comparing nucleic acid sequences, Computer 20(1987), no. 7, 81-88.
-
(1987)
Computer
, vol.20
, Issue.7
, pp. 81-88
-
-
Lopresti, D.1
-
273
-
-
0036202921
-
Patternhunter: Faster and more sensitive homology search
-
B. Ma, J. Tromp, and M. Li, Patternhunter: faster and more sensitive homology search, Bioinformatics 18(3) (2002), 440-445.
-
(2002)
Bioinformatics
, vol.18
, Issue.3
, pp. 440-445
-
-
Ma, B.1
Tromp, J.2
Li, M.3
-
275
-
-
14044258692
-
Embedded computation of maximum-likelihood phylogeny inference using platform FPGA
-
Stanford, California
-
S. T. Ma and K. P. Lam, Embedded computation of maximum-likelihood phylogeny inference using platform FPGA, IEEE Computer Society Bioinformatics Conference (Stanford, California), 2004.
-
(2004)
IEEE Computer Society Bioinformatics Conference
-
-
Ma, S.T.1
Lam, K.P.2
-
276
-
-
4344683486
-
FPGA architectures for real-time 2d/3d FIR/IIR plane wave filters
-
23-26 May 2004, Vancouver, BC, Canada, Piscataway, NJ, USA: IEEE, 2004
-
A. Madanayake, L. Bruton, and C. Comis, FPGA architectures for real-time 2d/3d FIR/IIR plane wave filters, 2004 IEEE International Symposium on Circuits and Systems, 23-26 May 2004, Vancouver, BC, Canada, Piscataway, NJ, USA: IEEE, 2004, 2004, pp. III - 613-616 Vol. 3.
-
(2004)
2004 IEEE International Symposium on Circuits and Systems
, vol.3
-
-
Madanayake, A.1
Bruton, L.2
Comis, C.3
-
278
-
-
0024700097
-
A theory for multiresolution signal decomposition: The wavelet representation
-
S. G. Mallat, A theory for multiresolution signal decomposition: the wavelet representation, IEEE Transactions on Pattern Analysis and Machine Intelligence 11(1989), no. 7, 674-93.
-
(1989)
IEEE Transactions on Pattern Analysis and Machine Intelligence
, vol.11
, Issue.7
, pp. 674-693
-
-
Mallat, S.G.1
-
279
-
-
0031628755
-
Reduced complexity FPGA-based digital signal processing for adaptive beamforming
-
Claudio S. Marino, Amir Sarajedini, and Paul Chau, Reduced complexity FPGA-based digital signal processing for adaptive beamforming, IEEE International Conference on Neural Networks - Conference Proceedings 2(1998), 928-931.
-
(1998)
IEEE International Conference on Neural Networks - Conference Proceedings
, vol.2
, pp. 928-931
-
-
Marino, C.S.1
Sarajedini, A.2
Chau, P.3
-
280
-
-
0032672691
-
A reconfigurable arithmetic array for multimedia applications
-
ACM Press
-
Alan Marshall, Tony Stansfield, Igor Kostarnov, Jean Vuillemin, and Brad Hutchings, A reconfigurable arithmetic array for multimedia applications, FPGA'99: Proceedings of the 1999 ACM/SIGDA seventh international symposium on field programmable gate arrays, ACM Press, 1999, pp. 135-143.
-
(1999)
FPGA'99: Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays
, pp. 135-143
-
-
Marshall, A.1
Stansfield, T.2
Kostarnov, I.3
Vuillemin, J.4
Hutchings, B.5
-
281
-
-
35248898833
-
Design of a power conscious, customizable CDMA receiver
-
M. Martina, A. Molino, M. Nicola, and F. Vacca, Design of a power conscious, customizable CDMA receiver, Lecture Notes In Computer Science 2778(2003), 1028-1031.
-
(2003)
Lecture Notes in Computer Science
, vol.2778
, pp. 1028-1031
-
-
Martina, M.1
Molino, A.2
Nicola, M.3
Vacca, F.4
-
283
-
-
0031345527
-
An FPGA-based co-processor for ATM firewal ls
-
J. M. Arnold and K. L. Pocek, eds., April
-
John McHenry, Patrick Dowd, Frank Pellegrino, Todd Carrozzi, and William Cocks, An FPGA-based co-processor for ATM firewal ls, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 1997.
-
(1997)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
McHenry, J.1
Dowd, P.2
Pellegrino, F.3
Carrozzi, T.4
Cocks, W.5
-
284
-
-
0037677855
-
Rijndael FPGA implementations utilising look-up tables
-
July
-
Maire McLoone and John V. McCanny, Rijndael FPGA implementations utilising look-up tables, Journal of VLSI Signal Processing, July 2003, pp. 261-275.
-
(2003)
Journal of VLSI Signal Processing
, pp. 261-275
-
-
McLoone, M.1
McCanny, J.V.2
-
285
-
-
24744439964
-
Very high speed 17 bps SHACAL encryption architecture
-
-. Very high speed 17 bps SHACAL encryption architecture, FPL, 2003, pp. 111-120.
-
(2003)
FPL
, pp. 111-120
-
-
McLoone, M.1
McCanny, J.V.2
-
286
-
-
84949211582
-
Jbits implementations of the advanced encryption standard (rijndael)
-
Scott McMillan and Cameron Patterson, Jbits implementations of the advanced encryption standard (rijndael)., FPL, 2001, pp. 162-171.
-
(2001)
FPL
, pp. 162-171
-
-
McMillan, S.1
Patterson, C.2
-
287
-
-
37249075916
-
Design and analysis of a layer seven network processor accelerator using reconfigurable logic
-
J. M. Arnold and K. L. Pocek, eds., April
-
G. Memik, S. O. Memik, and W. H. Mangione-Smith, Design and analysis of a layer seven network processor accelerator using reconfigurable logic, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2002.
-
(2002)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Memik, G.1
Memik, S.O.2
Mangione-Smith, W.H.3
-
290
-
-
0027640821
-
FIR filters with field-programmable gate arrays
-
Les Mintzer, FIR filters with field-programmable gate arrays, Journal of VLSI Signal Processing Systems 6(1993), no. 2, 119-127.
-
(1993)
Journal of VLSI Signal Processing Systems
, vol.6
, Issue.2
, pp. 119-127
-
-
Mintzer, L.1
-
291
-
-
0030394522
-
MATRIX: A reconfigurable computing architecture with configurable instruction distribution and deployable resources
-
J. Arnold and K. L. Pocek, eds., April
-
E. Mirsky and A. DeHon, MATRIX: A reconfigurable computing architecture with configurable instruction distribution and deployable resources, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (J. Arnold and K. L. Pocek, eds.), April 1996, pp. 157-166.
-
(1996)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 157-166
-
-
Mirsky, E.1
De Hon, A.2
-
292
-
-
84933384775
-
The software radio architecture
-
J. Mitola, The software radio architecture., IEEE Communications Magazine 33(1995), no. 5, 26-38.
-
(1995)
IEEE Communications Magazine
, vol.33
, Issue.5
, pp. 26-38
-
-
Mitola, J.1
-
293
-
-
0003460240
-
-
second ed., McGraw-Hill, New York, NY
-
Sanjit K. Mitra, Digital signal processing: A computer-based approach, second ed., McGraw-Hill, New York, NY, 2001.
-
(2001)
Digital Signal Processing: A Computer-based Approach
-
-
Mitra, S.K.1
-
294
-
-
84942851882
-
A quantitative analysis of reconfigurable coprocessors for multimedia applications
-
J. Arnold and K. Pocek, eds., IEEE Computer Society, April
-
T. Miyamori and U. Olukotun, A quantitative analysis of reconfigurable coprocessors for multimedia applications, Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. Arnold and K. Pocek, eds.), IEEE Computer Society, April 1998, pp. 2-11.
-
(1998)
Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 2-11
-
-
Miyamori, T.1
Olukotun, U.2
-
295
-
-
35048847935
-
A framework for energy efficient design of multi-rate applications using hybrid reconfigurable systems
-
S. Mohanty and V. K. Prasanna, A framework for energy efficient design of multi-rate applications using hybrid reconfigurable systems, Lecture Notes In Computer Science 3203(2004), 658-668.
-
(2004)
Lecture Notes in Computer Science
, vol.3203
, pp. 658-668
-
-
Mohanty, S.1
Prasanna, V.K.2
-
296
-
-
0348198473
-
Finite-state transducers in language and speech processing
-
M. Mohri, Finite-state transducers in language and speech processing, Computational Linguistics 23(1997), no. 2, 269-311.
-
(1997)
Computational Linguistics
, vol.23
, Issue.2
, pp. 269-311
-
-
Mohri, M.1
-
297
-
-
79955159801
-
Rijndael cryptographic engine on the ultrasonic reconfigurable platform
-
Emmanuel A. Moreira, Paul L. McAlpine, and Simon D. Haynes, Rijndael cryptographic engine on the ultrasonic reconfigurable platform., FPL, 2002, pp. 770-779.
-
(2002)
FPL
, pp. 770-779
-
-
Moreira, E.A.1
McAlpine, P.L.2
Haynes, S.D.3
-
299
-
-
33645793950
-
Implementation of a content-scanning module for an internet firewall
-
J. M. Arnold and K. L. Pocek, eds., April
-
J. Moscola, J. Lockwood, R. P. Loui, and M. Pachos, Implementation of a content-scanning module for an internet firewall, Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA) (J. M. Arnold and K. L. Pocek, eds.), April 2003.
-
(2003)
Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines (Napa, CA)
-
-
Moscola, J.1
Lockwood, J.2
Loui, R.P.3
Pachos, M.4
-
300
-
-
84891452060
-
-
Motorola, November, Application Note AN2436
-
Motorola, Specifying power consumption, November 2003, Application Note AN2436.
-
(2003)
Specifying Power Consumption
-
-
-
304
-
-
84862480152
-
-
Nallatech
-
Nallatech, Floating point IP cores for virtex-II, http://www.nallatech. com/solutions/products/software fpga ip/fpga ip/fpc/, 2003.
-
(2003)
Floating Point IP Cores for virtex-II
-
-
-
305
-
-
84891409068
-
-
Nallatech, Ltd., http://www.nallatech.com, (2004).
-
(2004)
Nallatech, Ltd.
-
-
-
307
-
-
84891470443
-
-
March, This is part of the CLAy System Development Kit documentation
-
National Semiconductor, Santa Clara, CA, Softprobe user's guide, 0.90 ed., March 1993, This is part of the CLAy System Development Kit documentation.
-
(1993)
National Semiconductor, Santa Clara, CA, Softprobe User's Guide, 0.90
-
-
-
308
-
-
35248858870
-
Two approaches for a single-chip FPGA implementation of an encryptor/decryptor AES core
-
Francisco Rodrguez-Henrquez Nazar A. Saqib and Arturo Daz-Prez, Two approaches for a single-chip FPGA implementation of an encryptor/decryptor AES core, FPL, 2003, pp. 303-312.
-
(2003)
FPL
, pp. 303-312
-
-
Rodrguez-Henrquez, F.1
Saqib, N.A.2
Daz-Prez, A.3
-
309
-
-
0014757386
-
A general method applicable to the search for similarities in the amino acid sequences of two proteins
-
S. B. Needleman and C. D. Wunsch, A general method applicable to the search for similarities in the amino acid sequences of two proteins, J. of Molecular Biology 48(1970), 443-453.
-
(1970)
J. of Molecular Biology
, vol.48
, pp. 443-453
-
-
Needleman, S.B.1
Wunsch, C.D.2
-
310
-
-
77956020373
-
FPGA-based discrete wavelet transforms
-
27-29 Aug. 2001, Belfast, Northern Ireland, UK R. Brebner, G.; Woods, ed., Berlin, Germany: Springer-Verlag, 2001
-
M. Nibouche, A. Bouridane, F. Murtagh, and O. Nibouche, FPGA-based discrete wavelet transforms, 11th International Conference on Field Programmable Logic and Applications, 27-29 Aug. 2001, Belfast, Northern Ireland, UK (R. Brebner, G.; Woods, ed.), Berlin, Germany: Springer-Verlag, 2001, 2001, pp. 607-12.
-
(2001)
11th International Conference on Field Programmable Logic and Applications
, pp. 607-612
-
-
Nibouche, M.1
Bouridane, A.2
Murtagh, F.3
Nibouche, O.4
-
312
-
-
13244251074
-
Improved hit criteria for DNA local alignement
-
L. Nóe and G. Kucherov, Improved hit criteria for DNA local alignement, Bioinformatics 5(2004), no. 149.
-
(2004)
Bioinformatics
, vol.5
, Issue.149
-
-
Nóe, L.1
Kucherov, G.2
-
313
-
-
84891414470
-
High performance biosequence database scanning on reconfigurable platforms
-
T. Oliver and B. Schmidt, High performance biosequence database scanning on reconfigurable platforms, HICOMB, 2004.
-
(2004)
HICOMB
-
-
Oliver, T.1
Schmidt, B.2
-
314
-
-
0003513556
-
-
second ed., Prentice Hall, Inc., Upper Saddle River, NJ
-
Alan V. Oppenheim and Ronald W. Schafer, Discrete-time signal processing, second ed., Prentice Hall, Inc., Upper Saddle River, NJ, 1999.
-
(1999)
Discrete-time Signal Processing
-
-
Oppenheim, A.V.1
Schafer, R.W.2
-
315
-
-
4544236478
-
Parameterized and energy efficient adaptive beamforming on FPGAs using MATLAB/Simulink
-
17-21 May 2004, Montreal, Que., Canada, Piscataway, NJ, USA: IEEE, 2004
-
Jingzhao Ou and V. K. Prasanna, Parameterized and energy efficient adaptive beamforming on FPGAs using MATLAB/Simulink, 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing, 17-21 May 2004, Montreal, Que., Canada, Piscataway, NJ, USA: IEEE, 2004, 2004, pp. V - 181-4 vol. 5.
-
(2004)
2004 IEEE International Conference on Acoustics, Speech, and Signal Processing
, vol.5
-
-
Ou, J.1
Prasanna, V.K.2
-
316
-
-
0022222298
-
-
Prentice-Hall Signal Processing Series, ch. Sonar Array Processing, Prentice-Hall, Englewood Cliffs, NJ
-
Norman L. Owsley, Array signal processing, Prentice-Hall Signal Processing Series, ch. Sonar Array Processing, pp. 115-193, Prentice-Hall, Englewood Cliffs, NJ, 1985.
-
(1985)
Array Signal Processing
, pp. 115-193
-
-
Owsley, N.L.1
-
317
-
-
84891378694
-
-
PACT Informationstechnologie GmbH, March
-
PACT Informationstechnologie GmbH, The XPP whitepaper, March 2002.
-
(2002)
The XPP Whitepaper
-
-
-
318
-
-
0002230692
-
Compiling occam into FPGAs
-
Oxford, UK, September
-
I. Page and W. Luk, Compiling occam into FPGAs, FPGAs. International Workshop on Field Programmable Logic and Applications (Oxford, UK), September 1991, pp. 271-283.
-
(1991)
FPGAs. International Workshop on Field Programmable Logic and Applications
, pp. 271-283
-
-
Page, I.1
Luk, W.2
-
319
-
-
47949105543
-
Flexible satellites: Software radio in the sky
-
Piscataway, NJ, USA: IEEE, 2003, February
-
B. Paillassa and C. Morlet, Flexible satellites: software radio in the sky, Proceedings of the 10th International Conference on Telecommunication (Papeete, Tahiti, French Polynesia), Piscataway, NJ, USA: IEEE, 2003, February 2003, pp. 1596-600 vol. 2.
-
(2003)
Proceedings of the 10th International Conference on Telecommunication (Papeete, Tahiti, French Polynesia)
, vol.2
, pp. 1596-1600
-
-
Paillassa, B.1
Morlet, C.2
-
320
-
-
0026140187
-
A systematic approach for design of digit-serial signal processing architectures
-
K. K. Parhi, A systematic approach for design of digit-serial signal processing architectures, IEEE Transactions on Circuits and Systems 38(1991), no. 4, 358-375.
-
(1991)
IEEE Transactions on Circuits and Systems
, vol.38
, Issue.4
, pp. 358-375
-
-
Parhi, K.K.1
-
321
-
-
84949773835
-
High performance DES encryption in Virtex FPGAs using JBits
-
K. Pocek and J. Arnold, eds., IEEE Computer Society, April
-
C. Patterson, High performance DES encryption in Virtex FPGAs using JBits, Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (K. Pocek and J. Arnold, eds.), IEEE Computer Society, April 2000, pp. 113-121.
-
(2000)
Proceedings of the 2000 IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 113-121
-
-
Patterson, C.1
-
323
-
-
0041828194
-
New distributed arithmetic discrete wavelet packet transform architecture
-
G. Paya, M. M. Peiro, F. Ballester, R. Gadea, and R. Colom, New distributed arithmetic discrete wavelet packet transform architecture, Proceedings of the SPIE - The International Society for Optical Engineering 5117(2003), 370-8.
-
(2003)
Proceedings of the SPIE - The International Society for Optical Engineering
, vol.5117
, pp. 370-378
-
-
Paya, G.1
Peiro, M.M.2
Ballester, F.3
Gadea, R.4
Colom, R.5
-
324
-
-
0023989064
-
Improved tools for biological sequence comparison
-
W. R. Pearson and D. J. Lipman, Improved tools for biological sequence comparison, Proc. Natl. Acad. Sci. 85(1988), 3244-3248.
-
(1988)
Proc. Natl. Acad. Sci.
, vol.85
, pp. 3244-3248
-
-
Pearson, W.R.1
Lipman, D.J.2
-
325
-
-
84891477121
-
-
Pentek, Inc., Upper Saddle River, NJ, Datasheet
-
Pentek, Inc., Upper Saddle River, NJ, Model 4954-403 GateFlow IP Core, 2004, Datasheet.
-
(2004)
Model 4954-403 GateFlow IP Core
-
-
-
330
-
-
0042976464
-
Optimizing digital hardware perceptrons for multi-spectral image classification
-
Reid B. Porter, N. Harvey, S. Perkins, J. Theiler, S. Brumby, J. Block, M. Gokhale, and J. Szymanski, Optimizing digital hardware perceptrons for multi-spectral image classification, Journal of Mathematical Imaging and Vision 19 (2003).
-
(2003)
Journal of Mathematical Imaging and Vision
, vol.19
-
-
Porter, R.B.1
Harvey, N.2
Perkins, S.3
Theiler, J.4
Brumby, S.5
Block, J.6
Gokhale, M.7
Szymanski, J.8
-
331
-
-
29244466257
-
A universal and efficient AES co-processor for field programmable logic arrays
-
Norbert Pramstaller and Johannes Wolkerstorfer, A universal and efficient AES co-processor for field programmable logic arrays, FPL, 2004, pp. 565-574.
-
FPL, 2004
, pp. 565-574
-
-
Pramstaller, N.1
Wolkerstorfer, J.2
-
332
-
-
0003409584
-
-
third ed., Prentice Hall, Inc.
-
John G. Proakis and Dimitris G. Manolakis, Digital signal processing: Principles, algorithms, and applications, third ed., Prentice Hall, Inc., 1996.
-
(1996)
Digital Signal Processing: Principles, Algorithms, and Applications
-
-
Proakis, J.G.1
Manolakis, D.G.2
-
333
-
-
33751421158
-
A run-time reconfigurable system for gene-sequence searching
-
New Delhi, India
-
K. Puttegowda, W. Woreck, N. Pappas, A. Dandapani, and P. Athanas, A run-time reconfigurable system for gene-sequence searching, International VLSI Design Conference (New Delhi, India), 2003.
-
(2003)
International VLSI Design Conference
-
-
Puttegowda, K.1
Woreck, W.2
Pappas, N.3
Dandapani, A.4
Athanas, P.5
-
334
-
-
0003747969
-
-
QinetiQ Holdings Ltd., Real time systems lab, http://www.quixilica.com/ products.htm, 2002.
-
(2002)
Real Time Systems Lab
-
-
-
335
-
-
18844394680
-
High speed IIR filter for Xilinx FPGA
-
9-12 Aug, Notre Dame, IN, USA, Los Alamitos, CA, USA: IEEE Comput. Soc, 1999, 1999
-
Jr. R. Landry, V. Calmettes, and E. Robin, High speed IIR filter for Xilinx FPGA, 1998 Midwest Symposium on Circuits and Systems, 9-12 Aug. 1998, Notre Dame, IN, USA, Los Alamitos, CA, USA: IEEE Comput. Soc, 1999, 1999, pp. 46-49.
-
(1998)
1998 Midwest Symposium on Circuits and Systems
, pp. 46-49
-
-
Landry Jr., R.1
Calmettes, V.2
Robin, E.3
-
337
-
-
1842597538
-
A performance study of hashing functions for hardware applications
-
M. Ramakrishna, E. Fu, and E. Bahcekapili, A performance study of hashing functions for hardware applications, Int. Conf. Computing and Information, 1994, pp. 1621-1636.
-
(1994)
Int. Conf. Computing and Information
, pp. 1621-1636
-
-
Ramakrishna, M.1
Fu, E.2
Bahcekapili, E.3
-
339
-
-
0028015432
-
A methodology for architecture synthesis of cascaded IIR filters on TLU FPGAs
-
5-8 Jan. 1994, Calcutta, India, Los Alamitos, CA, USA: IEEE Comput. Soc. Press, 1994
-
G. N. Rathna, S. K. Nandy, and K. Parthasarathy, A methodology for architecture synthesis of cascaded IIR filters on TLU FPGAs, 7th International Conference on VLSI Design, 5-8 Jan. 1994, Calcutta, India, Los Alamitos, CA, USA: IEEE Comput. Soc. Press, 1994, 1994, pp. 225-228.
-
(1994)
7th International Conference on VLSI Design
, pp. 225-228
-
-
Rathna, G.N.1
Nandy, S.K.2
Parthasarathy, K.3
-
342
-
-
0035204367
-
Software radio implementation of a DS-CDMA indoor subsystem based on FPGA devices
-
30 Sept.-3 Oct. 2001, San Diego, CA, USA, Piscataway, NJ, USA: IEEE, 2001
-
X. Rev́es, A. Gelonch, and F. Casadevall, Software radio implementation of a DS-CDMA indoor subsystem based on FPGA devices, 12th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications. PIMRC 2001. Proceedings, 30 Sept.-3 Oct. 2001, San Diego, CA, USA, Piscataway, NJ, USA: IEEE, 2001, 2001, pp. D - 86-90 vol. 1.
-
(2001)
12th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications. PIMRC 2001. Proceedings
, vol.1
-
-
Rev́es, X.1
Gelonch, A.2
Casadevall, F.3
-
343
-
-
11244299917
-
The cost of an abstraction layer on FPGA devices for software radio applications
-
5-8 Sept. 2004, Barcelona, Spain, Piscataway, NJ, USA: IEEE, 2004
-
X. Rev́es, V. Marojevic, A. Gelonch, and R. Ferrus, The cost of an abstraction layer on FPGA devices for software radio applications, 2004 IEEE 15th International Symposium on Personal, Indoor and Mobile Radio Communications, 5-8 Sept. 2004, Barcelona, Spain, Piscataway, NJ, USA: IEEE, 2004, 2004, pp. 1942-6 Vol. 3.
-
(2004)
2004 IEEE 15th International Symposium on Personal, Indoor and Mobile Radio Communications
, vol.3
, pp. 1942-1946
-
-
Rev́es, X.1
Marojevic, V.2
Gelonch, A.3
Ferrus, R.4
-
347
-
-
0038353880
-
Automatic synthesis of motion estimation processors based on a new class of hardware architectures
-
N. Roma and L. Sousa, Automatic synthesis of motion estimation processors based on a new class of hardware architectures, Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 34(2003), no. 3.
-
(2003)
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology
, vol.34
, Issue.3
-
-
Roma, N.1
Sousa, L.2
-
348
-
-
0025505369
-
Architecture of field-programmable gate arrays: The effect of logic block functionality on area efficiency
-
J. Rose, R. Francis, D. Lewis, and P. Chow, Architecture of field-programmable gate arrays: The effect of logic block functionality on area efficiency, IEEE Journal of Solid State Circuits 25(1990), no. 5, 1217-1225.
-
(1990)
IEEE Journal of Solid State Circuits
, vol.25
, Issue.5
, pp. 1217-1225
-
-
Rose, J.1
Francis, R.2
Lewis, D.3
Chow, P.4
-
349
-
-
0020497511
-
Parallel image processing using cellular arrays
-
A. Rosenfeld, Parallel image processing using cellular arrays, Computer 16 (1983).
-
(1983)
Computer
, vol.16
-
-
Rosenfeld, A.1
-
350
-
-
84950155001
-
The napa adaptive processing architecture
-
C. Rupp, M. Landguth, T. Garverick, E. Gomersall, H. Holt, J. Arnold, and M. Gokhale, The napa adaptive processing architecture, IEEE International Symposium on FPGAs for Custom Computing Machines (1998).
-
(1998)
IEEE International Symposium on FPGAs for Custom Computing Machines
-
-
Rupp, C.1
Landguth, M.2
Garverick, T.3
Gomersall, E.4
Holt, H.5
Arnold, J.6
Gokhale, M.7
-
351
-
-
35248824196
-
An FPGA-based performance analysis of the unrolling, tiling, and pipelining of the AES algorithm
-
G. P. Saggese, N. Mazzocca A. Mazzeo, and A. G. M. Strollo, An FPGA-based performance analysis of the unrolling, tiling, and pipelining of the AES algorithm, FPL, 2003, pp. 292-302.
-
(2003)
FPL
, pp. 292-302
-
-
Saggese, G.P.1
Mazzeo, M.N.A.2
Strollo, A.G.M.3
-
353
-
-
0141973796
-
Area-efficient FPGA-based FFT processor
-
T. Sansaloni, A. Perez-Pascual, and J. Valls, Area-efficient FPGA-based FFT processor, Electronics Letters 39(2003), no. 19, 1369-70.
-
(2003)
Electronics Letters
, vol.39
, Issue.19
, pp. 1369-1370
-
-
Sansaloni, T.1
Perez-Pascual, A.2
Valls, J.3
-
354
-
-
0027834038
-
Partitioning with cone structures
-
IEEE Computer Society Press
-
G. Saucier, D. Brasen, and J. P. Hiol, Partitioning with cone structures, IC-CAD'93: Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, IEEE Computer Society Press, 1993, pp. 236-239.
-
(1993)
IC-CAD'93: Proceedings of the 1993 IEEE/ACM International Conference on Computer-aided Design
, pp. 236-239
-
-
Saucier, G.1
Brasen, D.2
Hiol, J.P.3
-
355
-
-
14744299125
-
The design and implementation of a context switching FPGA
-
K. Pocek and J. Arnold, eds., IEEE Computer Society, IEEE Computer Society Press, April
-
S. M. Scalera and Jose R. Vazquez, The design and implementation of a context switching FPGA, Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (K. Pocek and J. Arnold, eds.), IEEE Computer Society, IEEE Computer Society Press, April 1998, pp. 78-85.
-
(1998)
Proceedings of IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 78-85
-
-
Scalera, S.M.1
Vazquez, J.R.2
-
356
-
-
0036045954
-
Piperench: A virtualized programmable datapath in 0.18 micron technology
-
IEEE, May
-
Herman Schmit, David Whelihan, Andrew Tsai, Matthew Moe, Benjamin Levine, and R. Reed Taylor, Piperench: A virtualized programmable datapath in 0.18 micron technology, Proceedings of the IEEE Custom Integrated Circuits Conference (Orlando, FL), IEEE Solid State Circuits and Electron Devices Societies, IEEE, May 2002, pp. 63-66.
-
(2002)
Proceedings of the IEEE Custom Integrated Circuits Conference (Orlando, FL), IEEE Solid State Circuits and Electron Devices Societies
, pp. 63-66
-
-
Schmit, H.1
Whelihan, D.2
Tsai, A.3
Moe, M.4
Levine, B.5
Reed Taylor, R.6
-
358
-
-
0029192905
-
Techniques for FPGA implementation of video compression systems
-
ACM Press
-
Brian Schoner, John Villasenor, Steve Molloy, and Rajeev Jain, Techniques for FPGA implementation of video compression systems, FPGA'95: Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays, ACM Press, 1995, pp. 154-159.
-
(1995)
FPGA'95: Proceedings of the 1995 ACM Third International Symposium on Field-programmable Gate Arrays
, pp. 154-159
-
-
Schoner, B.1
Villasenor, J.2
Molloy, S.3
Jain, R.4
-
359
-
-
0001926477
-
The computational linguistics of biological sequences
-
Larry Hunter, ed., AAAI Press
-
D. B. Searls, The computational linguistics of biological sequences, Artificial Intelligence and Molecular Biology (Larry Hunter, ed.), AAAI Press, 1993, pp. 47-120.
-
(1993)
Artificial Intelligence and Molecular Biology
, pp. 47-120
-
-
Searls, D.B.1
-
360
-
-
0030821916
-
Linguistic approaches to biological sequences
-
-. Linguistic approaches to biological sequences, Computer Applications in Biosciences 13(1997), no. 4, 333-344.
-
(1997)
Computer Applications in Biosciences
, vol.13
, Issue.4
, pp. 333-344
-
-
Searls, D.B.1
-
364
-
-
0029514257
-
Flexible image acquisition using reconfigurable hardware
-
P. M. Athanas and K. L. Pocek, eds., April
-
M. Shand, Flexible image acquisition using reconfigurable hardware, IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (P. M. Athanas and K. L. Pocek, eds.), April 1995, pp. 125-134.
-
(1995)
IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA)
, pp. 125-134
-
-
Shand, M.1
-
365
-
-
0029513668
-
A 3.8 ms latency correlation tracker for active mirror control based on a reconfigurable interface to a standard workstation
-
Philadephia, PA J. Schewel, ed., October
-
M. Shand, W. Wei, and G. Scharmer, A 3.8 ms latency correlation tracker for active mirror control based on a reconfigurable interface to a standard workstation, Proceedings of the International Society of Optical Engineering (SPIE). Field Programmable Gate Arrays (FPGAs) for Fast Board Development and Reconfigurable Computing. (Philadephia, PA) (J. Schewel, ed.), vol. 2607, October 1995, pp. 145-154.
-
(1995)
Proceedings of the International Society of Optical Engineering (SPIE). Field Programmable Gate Arrays (FPGAs) for Fast Board Development and Reconfigurable Computing
, vol.2607
, pp. 145-154
-
-
Shand, M.1
Wei, W.2
Scharmer, G.3
-
366
-
-
84894162916
-
PCI Pamette user-area interface for firmware 2.0
-
Palo Alto, CA, June, This document is included with the PCI Pamette's documentation
-
Mark Shand, PCI Pamette user-area interface for firmware 2.0, Tech. report, Compaq Computer Corporation, Palo Alto, CA, June 1999, This document is included with the PCI Pamette's documentation.
-
(1999)
Tech. Report, Compaq Computer Corporation
-
-
Shand, M.1
-
367
-
-
1642396742
-
JBits based fault tolerant framework for evolvable hardware
-
23-26 June 2003, Las Vegas, NV, USA TP Plaks, ed., Athens, GA, USA: CSREA Press, 2003
-
A. P. Shanthi, B. Vijayan, M. Rajendran, S. Veluswami, and R. Parthasarathi, JBits based fault tolerant framework for evolvable hardware., International Conference on Engineering of Reconfigurable Systems and Algorithms - ERSA'03, 23-26 June 2003, Las Vegas, NV, USA (TP Plaks, ed.), Athens, GA, USA: CSREA Press, 2003, 2003, pp. 111-117.
-
(2003)
International Conference on Engineering of Reconfigurable Systems and Algorithms - ERSA'03
, pp. 111-117
-
-
Shanthi, A.P.1
Vijayan, B.2
Rajendran, M.3
Veluswami, S.4
Parthasarathi, R.5
-
368
-
-
0037632480
-
Performance of IEEE 802.11 wireless LAN implemented on software defined radio with hybrid programmable architecture
-
11-15 May 2003, Anchorage, AK, USA, Piscataway, NJ, USA: IEEE, 2003
-
T. Shono, H. Shiba, Y. Shirato, K. Uehara, K. Araki, and M. Umehira, Performance of IEEE 802.11 wireless LAN implemented on software defined radio with hybrid programmable architecture, IEEE International Conference on Communications, 11-15 May 2003, Anchorage, AK, USA, Piscataway, NJ, USA: IEEE, 2003, 2003, pp. 2035-40 vol. 3.
-
(2003)
IEEE International Conference on Communications
, vol.3
, pp. 2035-2040
-
-
Shono, T.1
Shiba, H.2
Shirato, Y.3
Uehara, K.4
Araki, K.5
Umehira, M.6
-
371
-
-
0037744606
-
On the hardware implementations of the SHA-2 (256, 384, 512) hash functions
-
May
-
N. Sklavos and O. Koufopavlou, On the hardware implementations of the SHA-2 (256, 384, 512) hash functions, Proceedings of the International Symposium on Circiuts and Systems, May 2003, pp. 153-156.
-
(2003)
Proceedings of the International Symposium on Circiuts and Systems
, pp. 153-156
-
-
Sklavos, N.1
Koufopavlou, O.2
-
373
-
-
0019887799
-
Identification of common molecular subsequences
-
T. F. Smith and M. S. Waterman, Identification of common molecular subsequences, J. Mol. Biol 147(1981), 195-197.
-
(1981)
J. Mol. Biol
, vol.147
, pp. 195-197
-
-
Smith, T.F.1
Waterman, M.S.2
-
374
-
-
33845525241
-
Fast, large-scale string match for a 10gbps fpga-based network intrusion detection system
-
Ioannis Sourdis and Dionisios N. Pnevmatikatos, Fast, large-scale string match for a 10gbps fpga-based network intrusion detection system., FPL, 2003, pp. 880-889.
-
(2003)
FPL
, pp. 880-889
-
-
Sourdis, I.1
Pnevmatikatos, D.N.2
-
377
-
-
14844357239
-
Design and implementation of a completely reconfigurable soft radio
-
10-13 Sept. 2000, Denver, CO, USA, Piscataway, NJ, USA: IEEE, 2000
-
S. Srikanteswara, M. Hosemann, J. H. Reed, and P. M. Athanas, Design and implementation of a completely reconfigurable soft radio, RAWCON 2000. 2000 IEEE Radio and Wireless Conference, 10-13 Sept. 2000, Denver, CO, USA, Piscataway, NJ, USA: IEEE, 2000, 2000, pp. 7-11.
-
(2000)
RAWCON 2000. 2000 IEEE Radio and Wireless Conference
, pp. 7-11
-
-
Srikanteswara, S.1
Hosemann, M.2
Reed, J.H.3
Athanas, P.M.4
-
378
-
-
0035694373
-
Soft radio implementations for 3G and future high data rate systems
-
25-29 Nov. 2001, San Antonio, TX, USA, Piscataway, NJ, USA: IEEE, 2001
-
S. Srikanteswara, J. Neel, J. H. Reed, and P. Athanas, Soft radio implementations for 3G and future high data rate systems, GLOBECOM'01. IEEE Global Telecommunications Conference, 25-29 Nov. 2001, San Antonio, TX, USA, Piscataway, NJ, USA: IEEE, 2001, 2001, pp. 3370-4 vol. 6.
-
(2001)
GLOBECOM'01. IEEE Global Telecommunications Conference
, vol.6
, pp. 3370-3374
-
-
Srikanteswara, S.1
Neel, J.2
Reed, J.H.3
Athanas, P.4
-
379
-
-
0042308615
-
An overview of configurable computing machines for software radio handsets
-
S. Srikanteswara, R. C. Palat, J. H. Reed, and P. Athanas, An overview of configurable computing machines for software radio handsets, IEEE Communications Magazine 41(2003), no. 7, 134-41.
-
(2003)
IEEE Communications Magazine
, vol.41
, Issue.7
, pp. 134-141
-
-
Srikanteswara, S.1
Palat, R.C.2
Reed, J.H.3
Athanas, P.4
-
380
-
-
0034140121
-
A soft radio architecture for reconfigurable platforms
-
S. Srikanteswara, J. H. Reed, P. Athanas, and R. Boyle, A soft radio architecture for reconfigurable platforms, IEEE Communications Magazine 38(2000), no. 2, 140-147.
-
(2000)
IEEE Communications Magazine
, vol.38
, Issue.2
, pp. 140-147
-
-
Srikanteswara, S.1
Reed, J.H.2
Athanas, P.3
Boyle, R.4
-
381
-
-
0034446130
-
Implementation of a reconfigurable soft radio using the layered radio architecture
-
29 Oct.-1 Nov. 2000, Pacific Grove, CA, USA MB Mathews, ed., Piscataway, NJ, USA: IEEE, 2000
-
S. Srikanteswara, J. H. Reed, and P. M. Athanas, Implementation of a reconfigurable soft radio using the layered radio architecture, Thirty-Fourth Asilomar Conference on Signals, Systems and Computers, 29 Oct.-1 Nov. 2000, Pacific Grove, CA, USA (MB Mathews, ed.), Piscataway, NJ, USA: IEEE, 2000, 2000, pp. 360-4 vol. 1.
-
(2000)
Thirty-fourth Asilomar Conference on Signals, Systems and Computers
, vol.1
, pp. 360-364
-
-
Srikanteswara, S.1
Reed, J.H.2
Athanas, P.M.3
-
383
-
-
3042610031
-
System design using kahn process networks: The compaan/laura approach
-
T. Stefanov, C. Zissulescu, A. Turjan, B. Kienhuis, and E. Deprettere, System design using kahn process networks: The compaan/laura approach, DATE (2004), 340-345.
-
(2004)
DATE
, pp. 340-345
-
-
Stefanov, T.1
Zissulescu, C.2
Turjan, A.3
Kienhuis, B.4
Deprettere, E.5
-
384
-
-
2442614993
-
Designing multiple simultaneous seeds for DNA similarity search
-
Y. Sun and J. Buhler, Designing multiple simultaneous seeds for DNA similarity search, RECOMB'04, 2004.
-
(2004)
RECOMB'04
-
-
Sun, Y.1
Buhler, J.2
-
385
-
-
84891405525
-
-
Synplicity, Inc., Sunnyvale, CA
-
Synplicity, Inc., Sunnyvale, CA, Identify rtl debugger, datasheet 20304id ed., 2004.
-
(2004)
Identify Rtl Debugger, Datasheet 20304id
-
-
-
386
-
-
0036505033
-
The Raw microprocessor: A computational fabric for software circuits and general-purpose programs
-
Michael Bedford Taylor et al., The Raw microprocessor: A computational fabric for software circuits and general-purpose programs, IEEE Micro 22(2002), no. 2, 25-35.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 25-35
-
-
Taylor, M.B.1
-
387
-
-
0010922399
-
-
Ph. D. thesis, Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science
-
Russel Tessier, Fast place and route approaches for FPGAs, Ph. D. thesis, Massachusetts Institute of Technology, 1998, Department of Electrical Engineering and Computer Science.
-
(1998)
Fast Place and Route Approaches for FPGAs
-
-
Tessier, R.1
-
389
-
-
0035341885
-
Reconfigurable computing for digital signal processing: A survey
-
Russell Tessier and Wayne Burleson, Reconfigurable computing for digital signal processing: A survey, The Journal of VLSI Signal Processing 28(2001), 7-27.
-
(2001)
The Journal of VLSI Signal Processing
, vol.28
, pp. 7-27
-
-
Tessier, R.1
Burleson, W.2
-
390
-
-
0027968068
-
Clustalw: Improving the sensitivity of progressive multiple sequence alignment through sequence weighting, positions-specific gap penalties and weight matrix choice
-
J. D. Thompson, D. G. Higgins, and T. J. Gibson, Clustalw: improving the sensitivity of progressive multiple sequence alignment through sequence weighting, positions-specific gap penalties and weight matrix choice., Nucleic Acids Research 22(1994), 4673-4680.
-
(1994)
Nucleic Acids Research
, vol.22
, pp. 4673-4680
-
-
Thompson, J.D.1
Higgins, D.G.2
Gibson, T.J.3
-
391
-
-
79955162305
-
An FPGA based SHA-256 processor
-
Kurt K. Ting, Steve C. L. Yuen A and K. H. Lee A, and Philip H. W. Leong, An FPGA based SHA-256 processor, FPL, 2002, pp. 577-585.
-
(2002)
FPL
, pp. 577-585
-
-
Ting, K.K.1
Steve, C.L.2
Yuen, A.3
Lee, K.H.A.4
Leong, P.H.W.5
-
392
-
-
0034503820
-
Highperformance fine-grained pipelined LMS algorithm in virtex FPGA
-
L. K. Ting, R. F. Woods, C. F. N. Cowan, P. Cork, and C. Sprigings, Highperformance fine-grained pipelined LMS algorithm in virtex FPGA, Proceedings of the SPIE - The International Society for Optical Engineering 4116(2000), 288-99.
-
(2000)
Proceedings of the SPIE - The International Society for Optical Engineering
, vol.4116
, pp. 288-299
-
-
Ting, L.K.1
Woods, R.F.2
Cowan, C.F.N.3
Cork, P.4
Sprigings, C.5
-
394
-
-
68549132277
-
A 12gbps DES encryptor/decryptor core in an FPGA
-
Springer
-
S. Trimberger, R. Pang, and A Singh, A 12gbps DES encryptor/decryptor core in an FPGA, Proceedings of the Cryptographic Hardware and Embedded Systems Workshop (CHES), Springer, 2000, pp. 156-163.
-
(2000)
Proceedings of the Cryptographic Hardware and Embedded Systems Workshop (CHES)
, pp. 156-163
-
-
Trimberger, S.1
Pang, R.2
Singh, A.3
-
396
-
-
0031346317
-
A timemultiplexed FPGA
-
Napa, CA J. Arnold and K. L. Pocek, eds., April
-
Steve Trimberger, Dean Carberry, Anders Johnson, and Jennifer Wong, A timemultiplexed FPGA, Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (J. Arnold and K. L. Pocek, eds.), April 1997, pp. 22-28.
-
(1997)
Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines
, pp. 22-28
-
-
Trimberger, S.1
Carberry, D.2
Johnson, A.3
Wong, J.4
-
397
-
-
79955141866
-
Sea cucumber: A synthesizing compiler for FPGAs
-
J. L. Tripp, P. A. Jackson, and B. L. Hutchings, Sea cucumber: A synthesizing compiler for FPGAs, Lecture Notes In Computer Science 2438(2002), 875-885.
-
(2002)
Lecture Notes in Computer Science
, vol.2438
, pp. 875-885
-
-
Tripp, J.L.1
Jackson, P.A.2
Hutchings, B.L.3
-
401
-
-
0023985457
-
Beamforming: A versatile approach to spatial filtering
-
Barry Van Veen and Kevin Buckley, Beamforming: A versatile approach to spatial filtering, IEEE ASSP Magazine 5(1988), no. 2, 4-24.
-
(1988)
IEEE ASSP Magazine
, vol.5
, Issue.2
, pp. 4-24
-
-
Van Veen, B.1
Buckley, K.2
-
402
-
-
0036826761
-
Bdd-based logic synthesis for lut-based FPGAs
-
Navin Vemuri, Priyank Kalla, and Russell Tessier, Bdd-based logic synthesis for lut-based FPGAs, ACM Transactions on Design Automation of Electronic Systems (2002), no. 4, 501-525.
-
(2002)
ACM Transactions on Design Automation of Electronic Systems
, Issue.4
, pp. 501-525
-
-
Vemuri, N.1
Kalla, P.2
Tessier, R.3
-
404
-
-
84962799603
-
FPGA based real-time adaptive filtering for space applications
-
16-18 Dec. 2002, Hong Kong, China, Piscataway, NJ, USA: IEEE, 2002
-
S. J. Visser, A. S. Dawood, and J. A. Williams, FPGA based real-time adaptive filtering for space applications, 2002 IEEE International Conference on Field-Programmable Technology (FPT), 16-18 Dec. 2002, Hong Kong, China, Piscataway, NJ, USA: IEEE, 2002, 2002, pp. 322-6.
-
(2002)
2002 IEEE International Conference on Field-programmable Technology (FPT)
, pp. 322-326
-
-
Visser, S.J.1
Dawood, A.S.2
Williams, J.A.3
-
405
-
-
84919346176
-
The CORDIC trignometric computing technique
-
J. Volder, The CORDIC Trignometric Computing Technique, IRE Transactions on Electronic Computers EC-8(1959), no. 3, 330-334.
-
(1959)
IRE Transactions on Electronic Computers EC
, vol.8
, Issue.3
, pp. 330-334
-
-
Volder, J.1
-
407
-
-
0030104367
-
Programmable active memories: Reconfigurable systems come of age
-
J. Vuillemin, P. Bertin, D. Roncin, M. Shand, H. Touati, and P. Boucard, Programmable active memories: Reconfigurable systems come of age, IEEE transaction on VLSI systems 4(1992), no. 1, 56-69.
-
(1992)
IEEE Transaction on VLSI Systems
, vol.4
, Issue.1
, pp. 56-69
-
-
Vuillemin, J.1
Bertin, P.2
Roncin, D.3
Shand, M.4
Touati, H.5
Boucard, P.6
-
408
-
-
0031236158
-
Baring it all to software: Raw machines
-
E. Waingold, M. Taylor, et al., Baring it all to software: raw machines, IEEE Computer (1997), 86-93.
-
(1997)
IEEE Computer
, pp. 86-93
-
-
Waingold, E.1
Taylor, M.2
-
409
-
-
0033329881
-
Architectures for adaptive weight calculation on ASIC and FPGA
-
24-27 Oct, Pacific Grove, CA, USA MB Mathews, ed., Piscataway, NJ, USA: IEEE, 1999, 1999
-
R. L. Walke, R. W. M. Smith, and G. Lightbody, Architectures for adaptive weight calculation on ASIC and FPGA, 1999 Asilomar Conference, 24-27 Oct. 1999, Pacific Grove, CA, USA (MB Mathews, ed.), Piscataway, NJ, USA: IEEE, 1999, 1999, pp. 1375-80 vol. 2.
-
(1999)
1999 Asilomar Conference
, vol.2
, pp. 1375-1380
-
-
Walke, R.L.1
Smith, R.W.M.2
Lightbody, G.3
-
412
-
-
0038349119
-
Post-placement C-slow retiming for the Xilinx Virtex FPGA
-
Monterey, CA
-
N. Weaver, Y. Markovskiy, Y. Patel, and J. Wawrzynek, Post-placement C-slow retiming for the Xilinx Virtex FPGA, FPGA'03 (Monterey, CA), 2003.
-
(2003)
FPGA'03
-
-
Weaver, N.1
Markovskiy, Y.2
Patel, Y.3
Wawrzynek, J.4
-
413
-
-
84891387287
-
-
Wiley-Liss, Inc., Hoboken, New Jersey
-
L. Wernisch and S. J. Wodack, Structural bioinformatics, ch. Identifying structural domains in proteins, Wiley-Liss, Inc., Hoboken, New Jersey, 2003.
-
(2003)
Structural Bioinformatics, Ch. Identifying Structural Domains in Proteins
-
-
Wernisch, L.1
Wodack, S.J.2
-
414
-
-
84949222573
-
Using design-level scan to improve FPGA design observability and controllability for functional verification
-
27-29 Aug. 2001, Belfast, Northern Ireland, UK R. Brebner, G.; Woods, ed., Berlin, Germany: Springer-Verlag, 2001
-
T. Wheeler, P. Graham, B. Nelson, and B. Hutchings, Using design-level scan to improve FPGA design observability and controllability for functional verification., 11th International Conference on Field Programmable Logic and Applications, 27-29 Aug. 2001, Belfast, Northern Ireland, UK (R. Brebner, G.; Woods, ed.), Berlin, Germany: Springer-Verlag, 2001, 2001, pp. 483-492.
-
(2001)
11th International Conference on Field Programmable Logic and Applications
, pp. 483-492
-
-
Wheeler, T.1
Graham, P.2
Nelson, B.3
Hutchings, B.4
-
415
-
-
84891395964
-
-
Master's thesis, Brigham Young University, Provo, UT
-
Timothy Wheeler, Improving design observability and controllability for circuit debugging in FPGAs using design-level scan techniques, Master's thesis, Brigham Young University, Provo, UT, 2001.
-
(2001)
Improving Design Observability and Controllability for Circuit Debugging in FPGAs Using Design-level Scan Techniques
-
-
Wheeler, T.1
-
416
-
-
0024700020
-
Applications of distributed arithmetic to digital signal processing: A tutorial review
-
S. A. White, Applications of distributed arithmetic to digital signal processing: a tutorial review, IEEE ASSP Magazine 6(1989), no. 3, 4-19.
-
(1989)
IEEE ASSP Magazine
, vol.6
, Issue.3
, pp. 4-19
-
-
White, S.A.1
-
417
-
-
0003908991
-
-
Ph. D. thesis, Brigham Young University, Provo, UT, November
-
Michael Wirthlin, Improving functional density through run-time circuit reconfiguration, Ph. D. thesis, Brigham Young University, Provo, UT, November 1997.
-
(1997)
Improving Functional Density Through Run-time Circuit Reconfiguration
-
-
Wirthlin, M.1
-
418
-
-
0030399910
-
OneChip: An FPGA processor with reconfigurable logic
-
Napa, CA J. Arnold and K. L. Pocek, eds., April
-
R. D. Wittig and P. Chow, OneChip: An FPGA processor with reconfigurable logic, Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines (Napa, CA) (J. Arnold and K. L. Pocek, eds.), April 1996, pp. 126-135.
-
(1996)
Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines
, pp. 126-135
-
-
Wittig, R.D.1
Chow, P.2
-
422
-
-
84891386327
-
-
T. TingAo, Y. Huihua, A. Wang, and C. K. Cheng, eds., IEEE
-
SHANGHAI, PEOPLES R CHINA (T. TingAo, Y. Huihua, A. Wang, and C. K. Cheng, eds.), IEEE, 2001, pp. 486-489.
-
(2001)
Shanghai, Peoples R China
, pp. 486-489
-
-
-
423
-
-
84891455455
-
-
Xess Corporation, http://www.xess.com/, (2004).
-
(2004)
Xess Corporation
-
-
-
424
-
-
84954449974
-
-
Xilinx, Inc., San Jose, CA, ed., June
-
Xilinx, Inc., San Jose, CA, ChipScope software and ILA cores user manual, v. 1.1 ed., June 2000.
-
(2000)
ChipScope Software and ILA Cores User Manual, V. 1.1
-
-
-
425
-
-
84891415815
-
-
Xilinx, Inc., San Jose, CA, ed., January, Datasheet
-
Xilinx, Inc., San Jose, CA, Virtex-II 1.5 V field programmable gate arrays: Module 2, detailed functional description, v. 1.3 ed., January 2001, Datasheet DS031-2.
-
(2001)
Virtex-II 1.5 V Field Programmable Gate Arrays: Module 2, Detailed Functional Description, V. 1.3
-
-
-
426
-
-
33748893517
-
-
Xilinx, Inc., San Jose, CA, March, Product Specification
-
Xilinx, Inc., San Jose, CA, Digital down converter v1.0, March 2002, Product Specification.
-
(2002)
Digital Down Converter v1.0
-
-
-
427
-
-
84891397737
-
-
Xilinx, Inc., San Jose, CA, ed., August
-
Xilinx, Inc., San Jose, CA, PowerPC 405 processor block reference guide, 2.0 ed., August 2004, UG018.
-
(2004)
PowerPC 405 Processor Block Reference Guide, 2.0
-
-
-
428
-
-
84891459349
-
-
Xilinx, Inc., San Jose, CA, ed., September
-
Xilinx, Inc., San Jose, CA, Virtex-4 users guide, 1.1 ed., September 2004.
-
(2004)
Virtex-4 Users Guide, 1.1
-
-
-
429
-
-
84891454647
-
-
Xilinx, Inc., San Jose, CA, ed., March
-
Xilinx, Inc., San Jose, CA, Virtex-II Pro platform FPGAs: Complete data sheet, 3.1.1 ed., March 2004, DS083.
-
(2004)
Virtex-II Pro Platform FPGAs: Complete Data Sheet, 3.1.1
-
-
-
430
-
-
33845210203
-
-
Xilinx, Inc., San Jose, CA, ed., September
-
Xilinx, Inc., San Jose, CA, XtremeDSP design considerations, 1.1 ed., September 2004.
-
(2004)
XtremeDSP Design Considerations, 1.1
-
-
-
431
-
-
80052600521
-
Adaptive fault recovery for networked reconfigurable systems
-
K. Pocek and J. Arnold, eds., IEEE Computer Society, April
-
Weifeng Xu, R. Ramanarayanan, and R. Tessier, Adaptive fault recovery for networked reconfigurable systems, Proceedings of 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Napa, CA) (K. Pocek and J. Arnold, eds.), IEEE Computer Society, April 2003, pp. 143-152.
-
(2003)
Proceedings of 11th Annual IEEE Symposium on Field-programmable Custom Computing Machines (Napa, CA)
, pp. 143-152
-
-
Xu, W.1
Ramanarayanan, R.2
Tessier, R.3
-
432
-
-
0036365665
-
High speed homology search with FPGAs
-
Y. Yamaguchi, T. Marumaya, and A. Konagaya, High speed homology search with FPGAs, Pacific Symposium on Biocomputing (PCB'02), 2002, pp. 271-282.
-
(2002)
Pacific Symposium on Biocomputing (PCB'02)
, pp. 271-282
-
-
Yamaguchi, Y.1
Marumaya, T.2
Konagaya, A.3
-
433
-
-
0004000430
-
Kernel-based pattern recognition hardware: Its design methodology using evolved truth tables
-
M. Yasunaga, T. Nakamura, J. H. Kim, and I. Yoshihara, Kernel-based pattern recognition hardware: its design methodology using evolved truth tables, The Second NASA/DoD Workshop on Evolvable Hardware, 2000.
-
(2000)
The Second NASA/DoD Workshop on Evolvable Hardware
-
-
Yasunaga, M.1
Nakamura, T.2
Kim, J.H.3
Yoshihara, I.4
-
434
-
-
9144220330
-
High speed FPGA-based implementations of delayed-LMS filters
-
SPEC. ISS
-
Y. Yi, R. Woods, L. K. Ting, and C. F. N. Cowan, High speed FPGA-based implementations of delayed-LMS filters, Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 39(2005), no. 1-2 SPEC. ISS, 113-131.
-
(2005)
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology
, vol.39
, Issue.1-2
, pp. 113-131
-
-
Yi, Y.1
Woods, R.2
Ting, L.K.3
Cowan, C.F.N.4
-
435
-
-
21144440801
-
A Smith-Waterman systolic cel l
-
C. W. Yu, K. H. Kwong, K. H. Lee, and P. H. Leong, A Smith-Waterman systolic cel l, FPL'03, 2003, pp. 375-384.
-
(2003)
FPL'03
, pp. 375-384
-
-
Yu, C.W.1
Kwong, K.H.2
Lee, K.H.3
Leong, P.H.4
-
436
-
-
84891438719
-
A reconfigurable implementation approach of adaptive beamformer system
-
N. Callaos, T. Kitazoe, J. Zhou, F. Zhonghua, and M. Mostafa, eds., Int. Inst. Informatics & Systematics, July
-
S. S. Yu, H. B. Pan, J. L. Zhou, and Q. M. Luo, A reconfigurable implementation approach of adaptive beamformer system, Proceedings of the 7th World Multiconference on Systemics, Cybernetics and Informatics (Orlando, Florida) (N. Callaos, T. Kitazoe, J. Zhou, F. Zhonghua, and M. Mostafa, eds.), vol. 7, Int. Inst. Informatics & Systematics, July 2003, pp. 302-306.
-
(2003)
Proceedings of the 7th World Multiconference on Systemics, Cybernetics and Informatics (Orlando, Florida)
, vol.7
, pp. 302-306
-
-
Yu, S.S.1
Pan, H.B.2
Zhou, J.L.3
Luo, Q.M.4
-
438
-
-
29244455761
-
Exploring area/delay tradeoffs in an AES FPGA implementation
-
Joseph Zambreno, David Nguyen, and Alok Choudhary, Exploring area/delay tradeoffs in an AES FPGA implementation, FPL, 2004, pp. 575-585.
-
(2004)
FPL
, pp. 575-585
-
-
Zambreno, J.1
Nguyen, D.2
Choudhary, A.3
-
439
-
-
11244299848
-
Parallel FFT with CORDIC for ultra wide band
-
5-8 Sept. 2004, Barcelona, Spain, Piscataway, NJ, USA: IEEE, 2004
-
Guoping Zhang and F. Chen, Parallel FFT with CORDIC for ultra wide band, 2004 IEEE 15th International Symposium on Personal, Indoor and Mobile Radio Communications, 5-8 Sept. 2004, Barcelona, Spain, Piscataway, NJ, USA: IEEE, 2004, 2004, pp. 1173-7 Vol. 2.
-
(2004)
2004 IEEE 15th International Symposium on Personal, Indoor and Mobile Radio Communications
, vol.2
, pp. 1173-1177
-
-
Zhang, G.1
Chen, F.2
-
440
-
-
0034314477
-
A 1-v heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing
-
Hui Zhang, Vandana Prabhu, Varghese George, Marlene Wan, Martin Benes, Arthur Abnous, and Jan M. Rabaey, A 1-v heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing, IEEE Journal of Solid-State Circuits 35(2000), no. 11, 1697-1704.
-
(2000)
IEEE Journal of Solid-state Circuits
, vol.35
, Issue.11
, pp. 1697-1704
-
-
Zhang, H.1
Prabhu, V.2
George, V.3
Wan, M.4
Benes, M.5
Abnous, A.6
Rabaey, J.M.7
-
444
-
-
84891417065
-
-
Timelogic, www.timelogic.com.
-
Timelogic
-
-
|