-
1
-
-
0742321357
-
Fixed-Outline floorplanning: Enabling hierarchical design
-
ADYA, S. N. AND MARKOV, I. L. 2003. Fixed-Outline floorplanning: Enabling hierarchical design. IEEE Trans. VLSI 11, 6, 1120-1135.
-
(2003)
IEEE Trans. VLSI
, vol.11
, Issue.6
, pp. 1120-1135
-
-
Adya, S.N.1
Markov, I.L.2
-
2
-
-
0003502085
-
-
Computer Systems Laboratory, Stanford University
-
AIGNER, G., DIWAN, A., HEINE, D. L., LAM, M. S., MOORE, D. L., MURPHY, B. R., AND SAPUNTZAKIS, C. 1999. An overview of the SUIF2 compiler infrastructure. Computer Systems Laboratory, Stanford University.
-
(1999)
An Overview of the SUIF2 Compiler Infrastructure
-
-
Aigner, G.1
Diwan, A.2
Heine, D.L.3
Lam, M.S.4
Moore, D.L.5
Murphy, B.R.6
Sapuntzakis, C.7
-
5
-
-
34247100270
-
Energy optimization of multiprocessor systems on chip by voltage selection
-
ANDREI, A., ELES, P., PENG, Z., SCHMITZ, M. T., AND AL-HASHIMI, B. M. 2007. Energy optimization of multiprocessor systems on chip by voltage selection. IEEE Trans. VLSI 15, 3, 262-275.
-
(2007)
IEEE Trans. VLSI
, vol.15
, Issue.3
, pp. 262-275
-
-
Andrei, A.1
Eles, P.2
Peng, Z.3
Schmitz, M.T.4
Al-Hashimi, B.M.5
-
9
-
-
49749098472
-
Temperature-Aware voltage selection for energy optimization
-
BAO, M., ANDREI, A., ELES, P., AND PENG, Z. 2008. Temperature-Aware voltage selection for energy optimization. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'08). 1083-1086.
-
(2008)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'08)
, pp. 1083-1086
-
-
Bao, M.1
Andrei, A.2
Eles, P.3
Peng, Z.4
-
11
-
-
0032592096
-
Design challenges of technology scaling
-
BORKAR, S. 1999. Design challenges of technology scaling. IEEE Micro 19, 4, 23-29.
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
14
-
-
49749109086
-
Temperature-Aware scheduling and assignment for hard realtime applications on MPSoCs
-
CHANTEM, T., DICK, R. P., AND HU, X. S. 2008. Temperature-Aware scheduling and assignment for hard realtime applications on MPSoCs. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'08). 288-293.
-
(2008)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'08)
, pp. 288-293
-
-
Chantem, T.1
Dick, R.P.2
Hu, X.S.3
-
19
-
-
67649122392
-
A time-to-digital-converter-based CMOS smart temperature sensor
-
CHEN, C.-C., LU, W.-F., TSAI, C.-C., AND CHEN, P. 2005. A time-to-digital-converter-based CMOS smart temperature sensor. In Proceedings of the International Symposium on Circuits and Systems (ISCAS'05). 560-563.
-
(2005)
Proceedings of the International Symposium on Circuits and Systems (ISCAS'05)
, pp. 560-563
-
-
Chen, C.-C.1
Lu, W.-F.2
Tsai, C.-C.3
Chen, P.4
-
21
-
-
36949000833
-
Thermal-Aware task scheduling at the system software level
-
CHOI, J., CHER, C., FRANKE, H., HAMAN, H., WEGER, A., AND BOSE, P. 2007. Thermal-Aware task scheduling at the system software level. In Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED'07).
-
(2007)
Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED'07)
-
-
Choi, J.1
Cher, C.2
Franke, H.3
Haman, H.4
Weger, A.5
Bose, P.6
-
22
-
-
50249105864
-
Temperature aware microprocessor floorplanning considering application dependent power load
-
CHU, C.-T., ZHANG, X., HE, L., AND JING, T. T. 2007. Temperature aware microprocessor floorplanning considering application dependent power load. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'07). 586-589.
-
(2007)
Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'07)
, pp. 586-589
-
-
Chu, C.-T.1
Zhang, X.2
He, L.3
Jing, T.T.4
-
26
-
-
34548335311
-
Temperature aware task scheduling in MPSoCs
-
COSKUN, A. K., ROSING, T. S., AND WHISNANT, K. 2007. Temperature aware task scheduling in MPSoCs. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'07).
-
(2007)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'07)
-
-
Coskun, A.K.1
Rosing, T.S.2
Whisnant, K.3
-
27
-
-
49549094912
-
Temperature-Aware MPSoC scheduling for reducing hot spots and gradients
-
COSKUN, A. K., ROSING, T. S., WHISNANT, K., AND GROSS, K. C. 2008a. Temperature-Aware MPSoC scheduling for reducing hot spots and gradients. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'08). 49-54.
-
(2008)
Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'08)
, pp. 49-54
-
-
Coskun, A.K.1
Rosing, T.S.2
Whisnant, K.3
Gross, K.C.4
-
29
-
-
70350055176
-
Dynamic thermal management in 3D multicore architectures
-
COKSUN, A. K., ROSING, T. S., ALONSO, D. A., LEBLEBICI, J., AND AYALA, J. 2009. Dynamic thermal management in 3D multicore architectures. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09).
-
(2009)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09)
-
-
Coksun, A.K.1
Rosing, T.S.2
Alonso, D.A.3
Leblebici, J.4
Ayala, J.5
-
33
-
-
84863839979
-
-
EE TIMES
-
EE TIMES. 2008. The truth about last year's Xbox 360 recall. http://www.eetimes.com/electronics-news/4077187/The-truth-about-last-year-s- Xbox-360-recall
-
(2008)
The Truth about Last Year's Xbox 360 Recall
-
-
-
34
-
-
27444443809
-
-
Tech. rep. GIT-CERCS-04-37, Georgia Institute of Technology
-
EKPANYAPONG, M., HEALY, M. B., BALLAPURAM, C. S., LIM, S. K., LEE, H. H. S., AND LOH, G. H. 2004. Thermal-Aware 3D microarchitectural floorplanning. Tech. rep. GIT-CERCS-04-37, Georgia Institute of Technology.
-
(2004)
Thermal-Aware 3D Microarchitectural Floorplanning
-
-
Ekpanyapong, M.1
Healy, M.B.2
Ballapuram, C.S.3
Lim, S.K.4
Lee, H.H.S.5
Loh, G.H.6
-
35
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
FLAUTNER, K., KIM, N. S., MARTIN, S., BLAAUW, D., AND MUDGE, T. 2002. Drowsy caches: Simple techniques for reducing leakage power. In Proceedings of the International Symposium on Computer Architecture (ISCA'02).
-
(2002)
Proceedings of the International Symposium on Computer Architecture (ISCA'02)
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
38
-
-
0003815341
-
Managing the impact of increasing microprocessor power consumption
-
GUNTHER, S. H., BINNS, F., CARMEAN, D. M., AND HALL, J. C. 2001. Managing the impact of increasing microprocessor power consumption. Intel Tech. J. 5, 1.
-
(2001)
Intel Tech. J.
, Issue.5
, pp. 1
-
-
Gunther, S.H.1
Binns, F.2
Carmean, D.M.3
Hall, J.C.4
-
40
-
-
77955727265
-
Simulated annealing based temperature aware floorplanning
-
HAN, Y. AND KOREN, I. 2007. Simulated annealing based temperature aware floorplanning. The J. Low Power Electron. 3, 2, 1-15.
-
(2007)
The J. Low Power Electron
, vol.3
, Issue.2
, pp. 1-15
-
-
Han, Y.1
Koren, I.2
-
41
-
-
28444441586
-
Heat stroke: Power-Density-Based denial of service in SMT
-
HASAN, J., JALOTE, A., VIJAYKUMAR, T. N., AND BRODLEY, C. E. 2005. Heat stroke: Power-Density-Based denial of service in SMT. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA'05).
-
(2005)
Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA'05)
-
-
Hasan, J.1
Jalote, A.2
Vijaykumar, T.N.3
Brodley, C.E.4
-
42
-
-
33846219890
-
Multiobjective microarchitectural floorplanning for 2D and 3D ICs
-
HEALY, M. B., VITTES, M., EKPANYAPONG, M., BALLAMURAM, C. S., LIM, S. K., LEE, H. H. S., AND LOH, G. H. 2007. Multiobjective microarchitectural floorplanning for 2D and 3D ICs. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26, 1, 38-52.
-
(2007)
IEEE Trans. Comput. Aided Des. Integr. Circ. Syst
, vol.26
, Issue.1
, pp. 38-52
-
-
Healy, M.B.1
Vittes, M.2
Ekpanyapong, M.3
Ballamuram, C.S.4
Lim, S.K.5
Lee, H.H.S.6
Loh, G.H.7
-
45
-
-
0034462496
-
A framework for dynamic energy efficiency and temperature management
-
HUANG, M., RENAU, J., YOO, S.-M., AND TORRELLAS, J. 2000. A framework for dynamic energy efficiency and temperature management. In Proceedings of the International Symposium on Microarchitecture (MI-CRO'00).
-
(2000)
Proceedings of the International Symposium on Microarchitecture (MI-CRO'00)
-
-
Huang, M.1
Renau, J.2
Yoo, S.-M.3
Torrellas, J.4
-
46
-
-
49149120280
-
Accurate, pre-RTL temperature aware processor design using a parameterized, geometric thermal model
-
HUANG, W., SANKARANARAYANAN, K., SKADRON, K., RIBANDO, R. J., AND STAN, M. R. 2008. Accurate, pre-RTL temperature aware processor design using a parameterized, geometric thermal model. IEEE Trans. Comput. 57, 9, 1277-1288.
-
(2008)
IEEE Trans. Comput
, vol.57
, Issue.9
, pp. 1277-1288
-
-
Huang, W.1
Sankaranarayanan, K.2
Skadron, K.3
Ribando, R.J.4
Stan, M.R.5
-
47
-
-
17644418462
-
Thermal-Aware IP virtualization and placement for networks-on-chip architecture
-
HUNG, W.-L., ADDO-QUAYE, C., THEOCHARIDES, T., XIE, Y., VIJAYKRISHNAN, N., AND IRWIN, M. J. 2004. Thermal-Aware IP virtualization and placement for networks-on-chip architecture. In Proceedings of the International Conference on Computer Design (ICCD'04). 430-437.
-
(2004)
Proceedings of the International Conference on Computer Design (ICCD'04)
, pp. 430-437
-
-
Hung, W.-L.1
Addo-Quaye, C.2
Theocharides, T.3
Xie, Y.4
Vijaykrishnan, N.5
Irwin, M.J.6
-
48
-
-
84886688297
-
Thermal-Aware floorplanning using genetic algorithms
-
HUNG, W.-L., XIE, Y., VIJAYKRISHNAN, N., ADDO-QUAYE, C., THEOCHARIDES, T., AND IRWIN, M. J. 2005. Thermal-Aware floorplanning using genetic algorithms. In Proceedings of the International Symposium on Quality Electronic Design (ISQED'05). 634-639.
-
(2005)
Proceedings of the International Symposium on Quality Electronic Design (ISQED'05)
, pp. 634-639
-
-
Hung, W.-L.1
Xie, Y.2
Vijaykrishnan, N.3
Addo-Quaye, C.4
Theocharides, T.5
Irwin, M.J.6
-
54
-
-
44149087899
-
Statistical thermal profile considering process variations: Analysis and applications
-
JAFFARI, J. AND ANIS, M. 2008. Statistical thermal profile considering process variations: Analysis and applications. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27, 6, 1027-1040.
-
(2008)
IEEE Trans. Comput. Aided Des. Integr. Circ. Syst
, vol.27
, Issue.6
, pp. 1027-1040
-
-
Jaffari, J.1
Anis, M.2
-
55
-
-
77950970853
-
The impact of liquid cooling in 3D multicore processors
-
JANG, H. B., YOON, I., KIM, C. H., SHIN, S., AND CHUNG, S. W. 2009. The impact of liquid cooling in 3D multicore processors. In Proceedings of the IEEE International Conference on Computer Design (ICCD'09). 472-478.
-
(2009)
Proceedings of the IEEE International Conference on Computer Design (ICCD'09)
, pp. 472-478
-
-
Jang, H.B.1
Yoon, I.2
Kim, C.H.3
Shin, S.4
Chung, S.W.5
-
56
-
-
78650736086
-
Exploiting application-dependent ambient temperature for accurate architectural simulation
-
JANG, H. B., CHOI, J., YOON, I., LIM, S.-S., SHIN, S., CHANG, N., AND CHUNG, S. W. 2010. Exploiting application-dependent ambient temperature for accurate architectural simulation. In Proceedings of the IEEE International Conference on Computer Design (ICCD'10).
-
(2010)
Proceedings of the IEEE International Conference on Computer Design (ICCD'10)
-
-
Jang, H.B.1
Choi, J.2
Yoon, I.3
Lim, S.-S.4
Shin, S.5
Chang, N.6
Chung, S.W.7
-
59
-
-
57749210436
-
Automated microprocessor stressmark generation
-
JOSHI, A. M., EECKHOUT, L., JOHN, L. K., AND ISEN, C. 2008. Automated microprocessor stressmark generation. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA'08). 229-239.
-
(2008)
Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA'08)
, pp. 229-239
-
-
Joshi, A.M.1
Eeckhout, L.2
John, L.K.3
Isen, C.4
-
62
-
-
85024429815
-
A new approach to linear filtering and prediction problem
-
series D
-
KALMAN, R. E. 1960. A new approach to linear filtering and prediction problem. J. Basic Engin. 82, series D.
-
(1960)
J. Basic Engin
, vol.82
-
-
Kalman, R.E.1
-
65
-
-
77952697108
-
On the thermal attack in instruction caches
-
KONG, J., JOHN, J. K., CHUNG, E.-Y., HU, J., AND CHUNG, S. W. 2010. On the thermal attack in instruction caches. IEEE Trans. Depend. Secur. Comput. 7, 2, 217-223.
-
(2010)
IEEE Trans. Depend. Secur. Comput
, vol.7
, Issue.2
, pp. 217-223
-
-
Kong, J.1
John, J.K.2
Chung, E.-Y.3
Hu, J.4
Chung, S.W.5
-
66
-
-
15044356680
-
Integrated microchannel cooling for three-dimensional electronic circuit architectures
-
KOO, J., IM, S., JIANG, L., AND GOODSON, K. 2005. Integrated microchannel cooling for three-dimensional electronic circuit architectures. J. Heat Transfer 127, 49-58.
-
(2005)
J. Heat Transfer
, vol.127
, pp. 49-58
-
-
Koo, J.1
Im, S.2
Jiang, L.3
Goodson, K.4
-
67
-
-
33749396826
-
Thermal management of on-chip caches through power density minimization
-
KU, J. C., OZDEMIR, S., MEMIK, G., AND ISMAIL, Y. 2005. Thermal management of on-chip caches through power density minimization. In Proceedings of the International Symposium on Microarchitecture (MICRO'05).
-
(2005)
Proceedings of the International Symposium on Microarchitecture (MICRO'05)
-
-
Ku, J.C.1
Ozdemir, S.2
Memik, G.3
Ismail, Y.4
-
69
-
-
37249022917
-
System-Level dynamic thermal management for high-performance microprocessors
-
KUMAR, A., SHANG, L., PEH, L.-S., AND JHA, N. K. 2008. System-Level dynamic thermal management for high-performance microprocessors. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27, 1, 96-108.
-
(2008)
IEEE Trans. Comput. Aided Des. Integr. Circ. Syst
, vol.27
, Issue.1
, pp. 96-108
-
-
Kumar, A.1
Shang, L.2
Peh, L.-S.3
Jha, N.K.4
-
71
-
-
72949089066
-
Predictive temperature-aware DVFS
-
LEE, J. S., SKADRON, K., AND CHUNG, S. W. 2010. Predictive temperature-aware DVFS. IEEE Trans. Comput. 59, 1, 127-133.
-
(2010)
IEEE Trans. Comput
, vol.59
, Issue.1
, pp. 127-133
-
-
Lee, J.S.1
Skadron, K.2
Chung, S.W.3
-
75
-
-
44249110737
-
GOP-Level dynamic thermal management in MPEG-2 decoding
-
LEE, W., PATEL, K., AND PEDRAM, M. 2008. GOP-Level dynamic thermal management in MPEG-2 decoding. IEEE Trans. VLSI 16, 6, 662-672.
-
(2008)
IEEE Trans. VLSI
, vol.16
, Issue.6
, pp. 662-672
-
-
Lee, W.1
Patel, K.2
Pedram, M.3
-
80
-
-
51149097921
-
Thermal monitoring mechanisms for chip multiprocessors
-
LONG, J., MEMIK, S. O., MEMIK, G., AND MUKHERJEE, R. 2008. Thermal monitoring mechanisms for chip multiprocessors. ACM Trans. Archit. Code Optimiz. 5, 2.
-
(2008)
ACM Trans. Archit. Code Optimiz
, vol.5
, pp. 2
-
-
Long, J.1
Memik, S.O.2
Memik, G.3
Mukherjee, R.4
-
81
-
-
0344981534
-
Reducing multimedia decode power using feedback control
-
LU, Z., LACH, J., STAN, M., AND SKADRON, K. 2003. Reducing multimedia decode power using feedback control. In Proceedings of the International Conference on Computer Design (ICCD'03). 489-497.
-
(2003)
Proceedings of the International Conference on Computer Design (ICCD'03)
, pp. 489-497
-
-
Lu, Z.1
Lach, J.2
Stan, M.3
Skadron, K.4
-
82
-
-
34047139833
-
Improved thermal management with reliability banking
-
LU, Z., LACH, J., STAN, M., AND SKADRON, K. 2005. Improved thermal management with reliability banking. IEEE Micro 25, 6, 40-49.
-
(2005)
IEEE Micro
, vol.25
, Issue.6
, pp. 40-49
-
-
Lu, Z.1
Lach, J.2
Stan, M.3
Skadron, K.4
-
83
-
-
39749120469
-
Optimizing thermal sensor allocation for microprocessors
-
MEMIK, S. O., MUKHERJEE, R., NI, M., AND LONG, J. 2008. Optimizing thermal sensor allocation for microprocessors. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27, 3, 516-527.
-
(2008)
IEEE Trans. Comput. Aided Des. Integr. Circ. Syst
, vol.27
, Issue.3
, pp. 516-527
-
-
Memik, S.O.1
Mukherjee, R.2
Ni, M.3
Long, J.4
-
91
-
-
49749145589
-
Thermal balancing policy for streaming computing on multiprocessor architectures
-
MULAS, F., PITTAU, M., BUTTU, M., CARTA, S., ACQUAVIVA, A., BENINI, L., ATIENZA, D., AND MICHELI, G. D. 2008. Thermal balancing policy for streaming computing on multiprocessor architectures. In Proceedings of the Design Automation and Test in Europe Conference and Exhibition (DATE'08). 734-739.
-
(2008)
Proceedings of the Design Automation and Test in Europe Conference and Exhibition (DATE'08)
, pp. 734-739
-
-
Mulas, F.1
Pittau, M.2
Buttu, M.3
Carta, S.4
Acquaviva, A.5
Benini, L.6
Atienza, D.7
Micheli, G.D.8
-
92
-
-
49749114831
-
Temperature control of high-performance multi-core platforms using convex optimization
-
MURALI, S., MUTAPCIC, A., ATIENZA, D., GUPTA, R., BOYD, S. P., BENINI, L., AND MICHELI, G. D. 2008. Temperature control of high-performance multi-core platforms using convex optimization. In Proceedings of the Design Automation and Test in Europe Conference and Exhibition (DATE'08). 110-115.
-
(2008)
Proceedings of the Design Automation and Test in Europe Conference and Exhibition (DATE'08)
, pp. 110-115
-
-
Murali, S.1
Mutapcic, A.2
Atienza, D.3
Gupta, R.4
Boyd, S.P.5
Benini, L.6
Micheli, G.D.7
-
93
-
-
33749026682
-
Compiler-Directed thermal management for VLIW functional units
-
MUTYAM, M., LI, F., VIJAYKRISHNAN, N., KANDEMIR, M. T., AND IRWIN, M. J. 2006. Compiler-Directed thermal management for VLIW functional units. In Proceedings of the ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06). 163-172.
-
(2006)
Proceedings of the ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06)
, pp. 163-172
-
-
Mutyam, M.1
Li, F.2
Vijaykrishnan, N.3
Kandemir, M.T.4
Irwin, M.J.5
-
95
-
-
34548119036
-
Power and thermal management in the Intel core duo processor
-
NAVEH, A., ROTEM, E., MENDELSON, A., GOCHMAN, S., CHABUKSWAR, R., KRISHNAN, K., AND KUMAR, A. 2006. Power and thermal management in the Intel core duo processor. Intel Tech. J. 10, 2.
-
(2006)
Intel Tech. J
, vol.10
, pp. 2
-
-
Naveh, A.1
Rotem, E.2
Mendelson, A.3
Gochman, S.4
Chabukswar, R.5
Krishnan, K.6
Kumar, A.7
-
105
-
-
33947644355
-
Analysis of thermal monitor features of the Intel Pentium M processor
-
ROTEM, E., NAVEH, A., MOFFIE, M., AND MENDELSON, A. 2004. Analysis of thermal monitor features of the Intel Pentium M processor. In Proceedings of the Workshop on Temperature-Aware Computer Systems (TACS'04).
-
(2004)
Proceedings of the Workshop on Temperature-Aware Computer Systems (TACS'04)
-
-
Rotem, E.1
Naveh, A.2
Moffie, M.3
Mendelson, A.4
-
106
-
-
27444438269
-
A case for thermal-aware floorplan-ning at the microarchitectural level
-
SANKARANARAYANAN, K., VELUSAMY, S., STAN, M. R., AND SKADRON, K. 2005. A case for thermal-aware floorplan-ning at the microarchitectural level. The J. Instruct. Level Parallel. 7.
-
(2005)
The J. Instruct. Level Parallel
, vol.7
-
-
Sankaranarayanan, K.1
Velusamy, S.2
Stan, M.R.3
Skadron, K.4
-
107
-
-
83655178417
-
-
Tech. rep. CS-2009-03, University of Virginia, Department of Computer Science. April
-
SANKARANARAYANAN, K., HUANG, W., STAN, M. R., HAJ-HARIRI, H., RIBANDO, R. J., AND SKADRON, K. 2009. Granularity of microprocessor thermal management: A technical report. Tech. rep. CS-2009-03, University of Virginia, Department of Computer Science. April.
-
(2009)
Granularity of Microprocessor Thermal Management: A Technical Report
-
-
Sankaranarayanan, K.1
Huang, W.2
Stan, M.R.3
Haj-Hariri, H.4
Ribando, R.J.5
Skadron, K.6
-
110
-
-
76349124864
-
Energy-Optimal dynamic thermal management for green computing
-
SHIN, D., KIM, J., CHOI, J., CHUNG, S. W., CHUNG, E.-Y., AND CHANG, N. 2009. Energy-Optimal dynamic thermal management for green computing. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'09).
-
(2009)
Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'09)
-
-
Shin, D.1
Kim, J.2
Choi, J.3
Chung, S.W.4
Chung, E.-Y.5
Chang, N.6
-
113
-
-
0038684860
-
Temperature-Aware microarchitecture
-
SKADRON, K., STAN, M. R., HUANG, W., VELUSAMY, S., SANKARANARAYANAN, K., AND TARJAN, D. 2003. Temperature-Aware microarchitecture. In Proceedings of the International Symposium on Computer Architecture (ISCA'03).
-
(2003)
Proceedings of the International Symposium on Computer Architecture (ISCA'03)
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
114
-
-
85009352442
-
Temperature-Aware microarchitecture: Modeling and implementation
-
SKADRON, K., SANKARANARAYANAN, K., VELUSAMY, S., TARJAN, D., STAN, M. R., AND HUANG, W. 2004. Temperature-Aware microarchitecture: Modeling and implementation. ACM Trans. Archit. Code Optimiz. 1, 1, 94-125.
-
(2004)
ACM Trans. Archit. Code Optimiz
, vol.1
, Issue.1
, pp. 94-125
-
-
Skadron, K.1
Sankaranarayanan, K.2
Velusamy, S.3
Tarjan, D.4
Stan, M.R.5
Huang, W.6
-
122
-
-
33745174527
-
Power reduction techniques for microprocessor systems
-
VENKATACHALAM, V. AND FRANZ, M. 2005. Power reduction techniques for microprocessor systems. ACM Comput. Surv. 37, 3, 195-237.
-
(2005)
ACM Comput. Surv
, vol.37
, Issue.3
, pp. 195-237
-
-
Venkatachalam, V.1
Franz, M.2
-
123
-
-
77952574782
-
Architecting for power management: The IBM POWER7 approach
-
WARE, M., RAJAMANI, K., FLOYD, M., BROCK, B., RUBIO, J. C., RAWSON, F., AND CARTER, J. B. 2010. Architecting for power management: The IBM POWER7 approach. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'10).
-
(2010)
Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'10)
-
-
Ware, M.1
Rajamani, K.2
Floyd, M.3
Brock, B.4
Rubio, J.C.5
Rawson, F.6
Carter, J.B.7
-
127
-
-
52249084545
-
Dynamic thermal management through task scheduling
-
YANG, J., ZHOU, X., CHROBAK, M., ZHANG, Y., AND JIN, L. 2008. Dynamic thermal management through task scheduling. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'08). 191-201.
-
(2008)
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'08)
, pp. 191-201
-
-
Yang, J.1
Zhou, X.2
Chrobak, M.3
Zhang, Y.4
Jin, L.5
-
128
-
-
52049105203
-
Effective dynamic thermal management for MPEG-4 decoding
-
YEO, I., LEE, H. K., KIM, E. J., AND YUM, K. H. 2007. Effective dynamic thermal management for MPEG-4 decoding. In Proceedings of the International Conference on Computer Design (ICCD'07). 623-628.
-
(2007)
Proceedings of the International Conference on Computer Design (ICCD'07)
, pp. 623-628
-
-
Yeo, I.1
Lee, H.K.2
Kim, E.J.3
Yum, K.H.4
-
133
-
-
50249153041
-
3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits
-
ZHOU, P., MA, Y., LI, Z., DICK, R. P., SHANG, L., ZHOU, H., HONG, X., AND ZHOU, Q. 2007. 3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'07). 590-597.
-
(2007)
Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'07)
, pp. 590-597
-
-
Zhou, P.1
Ma, Y.2
Li, Z.3
Dick, R.P.4
Shang, L.5
Zhou, H.6
Hong, X.7
Zhou, Q.8
-
135
-
-
47849132667
-
Three-Dimensional chip multiprocessor run-time thermal management
-
ZHU, C., GU, Z., SHANG, L., DICK, R. P., AND JOSEPH, R. 2008. Three-Dimensional chip multiprocessor run-time thermal management. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27, 8, 1479-1492.
-
(2008)
IEEE Trans. Comput. Aided Des. Integr. Circ. Syst
, vol.27
, Issue.8
, pp. 1479-1492
-
-
Zhu, C.1
Gu, Z.2
Shang, L.3
Dick, R.P.4
Joseph, R.5
|