메뉴 건너뛰기




Volumn 5, Issue 2, 2008, Pages

Thermal monitoring mechanisms for chip multiprocessors

Author keywords

Nonuniform and uniform sensor placement; Thermal sensor allocation

Indexed keywords

CHIP MULTI PROCESSORS; MAXIMUM TEMPERATURE; NONUNIFORM AND UNIFORM SENSOR PLACEMENT; SENSOR READINGS; THERMAL SENSOR ALLOCATION;

EID: 51149097921     PISSN: 15443566     EISSN: 15443973     Source Type: Journal    
DOI: 10.1145/1400112.1400114     Document Type: Article
Times cited : (85)

References (43)
  • 1
    • 33846535493 scopus 로고    scopus 로고
    • BINKERT, N. L. D. ET AL. 2006. The M5 simulator: Modeling networked systems. IEEE Micro, 26, 4, 52-60.
    • BINKERT, N. L. D. ET AL. 2006. The M5 simulator: Modeling networked systems. IEEE Micro, 26, 4, 52-60.
  • 3
    • 0032592096 scopus 로고    scopus 로고
    • Design challenges of technology scaling
    • 4
    • BORKAR, S. 1999. Design challenges of technology scaling. IEEE Micro (July-Aug.) 19, 4, 23-29.
    • (1999) IEEE Micro (July-Aug.) , vol.19 , pp. 23-29
    • BORKAR, S.1
  • 6
    • 51149121363 scopus 로고    scopus 로고
    • Intel processor and platform evolution for the next decade. Whitepaper
    • BORKAR, S. ET AL. 2005. Platform 2015: Intel processor and platform evolution for the next decade. Whitepaper.
    • (2005) Platform 2015
    • BORKAR, S.1    ET AL.2
  • 10
    • 0003815341 scopus 로고    scopus 로고
    • Managing the impact of increasing microprocessor power consumption
    • GUNTHER, S. ET AL. 2001. Managing the impact of increasing microprocessor power consumption. Intel Tech. J.
    • (2001) Intel Tech. J
    • GUNTHER, S.1    ET AL.2
  • 12
    • 51149113425 scopus 로고    scopus 로고
    • http://download.intel.com/design/Pentium4/datashts/29864312.pdf. Intel Pentium 4 Processor with 512-KB L2 Cache on 0.13 Micron Process Thermal Design Guidelines. 2002.
    • http://download.intel.com/design/Pentium4/datashts/29864312.pdf. Intel Pentium 4 Processor with 512-KB L2 Cache on 0.13 Micron Process Thermal Design Guidelines. 2002.
  • 14
    • 27944431818 scopus 로고    scopus 로고
    • Compact thermal modeling for temperature-aware design
    • HUANG, W. ET AL. 2004. Compact thermal modeling for temperature-aware design. In Design Automation Conference.
    • (2004) Design Automation Conference
    • HUANG, W.1    ET AL.2
  • 18
    • 20344374162 scopus 로고    scopus 로고
    • Niagara: A 32-way multithreaded sparc processor
    • KONGETIRA, P., AINGARAN, K., AND OLUKOTUN, K. 2005. Niagara: A 32-way multithreaded sparc processor. IEEE Micro, 25, 2, 21-29.
    • (2005) IEEE Micro , vol.25 , Issue.2 , pp. 21-29
    • KONGETIRA, P.1    AINGARAN, K.2    OLUKOTUN, K.3
  • 22
    • 28444470490 scopus 로고    scopus 로고
    • LI, Y., BROOKS, D., BROOKS, HU, Z., SKADRON, K. 2005. Performance, energy, and thermal considerations for SMT and CMP architectures. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture. San Francisco, CA.
    • LI, Y., BROOKS, D., BROOKS, HU, Z., SKADRON, K. 2005. Performance, energy, and thermal considerations for SMT and CMP architectures. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture. San Francisco, CA.
  • 23
    • 34548358706 scopus 로고    scopus 로고
    • Accurate Temperature-dependent integrated circuit leakage powerestimation is easy
    • LIU, Y. ET AL. 2007. Accurate Temperature-dependent integrated circuit leakage powerestimation is easy. In Design, Automation and Test in Europe.
    • (2007) Design, Automation and Test in Europe
    • LIU, Y.1    ET AL.2
  • 24
    • 0036999908 scopus 로고    scopus 로고
    • LOPEZ-BUEDO, S., GARRIDO, J., AND BOEMO, E. I. 2002. Dynamically inserting, operating, and eliminating thermal sensors of FPGA-based systems. IEEE Trans. Components Packaging Tech. 25, 4, 561-566.
    • LOPEZ-BUEDO, S., GARRIDO, J., AND BOEMO, E. I. 2002. Dynamically inserting, operating, and eliminating thermal sensors of FPGA-based systems. IEEE Trans. Components Packaging Tech. 25, 4, 561-566.
  • 26
    • 34547176379 scopus 로고    scopus 로고
    • Systematic temperature sensor allocation and placement for microprocessors
    • San Francisco, CA
    • MUKHERJEE, R. AND MEMIK O. S. 2006. Systematic temperature sensor allocation and placement for microprocessors. In IEEE/ACM Design Automation Conference (DAC). San Francisco, CA.
    • (2006) IEEE/ACM Design Automation Conference (DAC)
    • MUKHERJEE, R.1    MEMIK, O.S.2
  • 35
    • 27444438269 scopus 로고    scopus 로고
    • A case for thermal-aware floorplanning at the microarchitectural level
    • SANKARANARAYANAN, K. ET AL. 2005. A case for thermal-aware floorplanning at the microarchitectural level. J. Instruction-Level Parallelism. 7, 1-16.
    • (2005) J. Instruction-Level Parallelism , vol.7 , pp. 1-16
    • SANKARANARAYANAN, K.1    ET AL.2
  • 38
    • 51149115444 scopus 로고    scopus 로고
    • SPEC-CPU2000. 2000. Standard Performance Evaluation Council, Performance Evaluation in the New Millennium, Version 1.1
    • SPEC-CPU2000. 2000. Standard Performance Evaluation Council, Performance Evaluation in the New Millennium, Version 1.1.
  • 40
    • 0032121977 scopus 로고    scopus 로고
    • A switched-current, switched-capacitor temperature sensor in 0.6 um CMOS
    • TUTHILL, M. 1998. A switched-current, switched-capacitor temperature sensor in 0.6 um CMOS. IEEE J. Solid-State Circuits. 33, 7, 1117-1122.
    • (1998) IEEE J. Solid-State Circuits , vol.33 , Issue.7 , pp. 1117-1122
    • TUTHILL, M.1
  • 41
    • 33947226035 scopus 로고    scopus 로고
    • TSAI, J., CHEN, C. C., CHEN, G., GOPLEN, B., QIAN, H., ZHAN, Y., KANG, S., WONG, M. D. F., AND SAPATNEKAR, S. S. 2006. Temperature-aware placement for SOCs. In Proceedings of the IEEE. 94, 8 (Aug.), 1502-1518.
    • TSAI, J., CHEN, C. C., CHEN, G., GOPLEN, B., QIAN, H., ZHAN, Y., KANG, S., WONG, M. D. F., AND SAPATNEKAR, S. S. 2006. Temperature-aware placement for SOCs. In Proceedings of the IEEE. 94, 8 (Aug.), 1502-1518.
  • 43
    • 51149121130 scopus 로고    scopus 로고
    • A novel built-in CMOS sensor for on-line thermal monitoring of VLSI circuits
    • WANG, N., ZHANG, S., AND ZHOU, R. 2003. A novel built-in CMOS sensor for on-line thermal monitoring of VLSI circuits. In International Conference on ASIC.
    • (2003) International Conference on ASIC
    • WANG, N.1    ZHANG, S.2    ZHOU, R.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.