-
1
-
-
84859277051
-
-
http://www.intel.com/products/server/processors/server/itanium/
-
-
-
-
2
-
-
84859283693
-
-
http://www.isonics.com/
-
-
-
-
3
-
-
84859292976
-
-
http://www.itrs.net/Common/2004Update/2004_000_ORTC.pdf
-
-
-
-
4
-
-
84859292977
-
-
http://lava.cs.virginia.edu/HotSpot/index.htm
-
-
-
-
5
-
-
84859278551
-
-
http://www.trimaran.org/
-
-
-
-
6
-
-
0032592096
-
Design challenges of technology scaling
-
S. Borkar. Design challenges of technology scaling. IEEE Micro, 19(4):23-29, 1999.
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
9
-
-
28444447997
-
Distributing the frontend for temperature reduction
-
P. Chaparro, G. Magklis, J. González, and A. González. Distributing the frontend for temperature reduction. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture, pp. 61-70, 2005.
-
(2005)
Proceedings of the 11th International Symposium on High-performance Computer Architecture
, pp. 61-70
-
-
Chaparro, P.1
Magklis, G.2
González, J.3
González, A.4
-
10
-
-
84968919076
-
Efficient resource management during instruction scheduling for the EPIC architectures
-
D.-Y. Chen, L. Liu, C. Fu, S. Yang, C. Wu, and R. Ju. Efficient resource management during instruction scheduling for the EPIC architectures. In Proceedings of the 12th International Conference on Parallel Architectures and Compilation Techniques, pp. 36-45, 2003.
-
(2003)
Proceedings of the 12th International Conference on Parallel Architectures and Compilation Techniques
, pp. 36-45
-
-
Chen, D.-Y.1
Liu, L.2
Fu, C.3
Yang, S.4
Wu, C.5
Ju, R.6
-
11
-
-
1242283591
-
Thermal modeling and measurement of large high-power silicon devices with asymmetric power distribution
-
J. Deeney. Thermal modeling and measurement of large high-power silicon devices with asymmetric power distribution. In Proceedings of the 35th International Symposium on Microelectronics, 2002.
-
(2002)
Proceedings of the 35th International Symposium on Microelectronics
-
-
Deeney, J.1
-
13
-
-
0019596071
-
Trace scheduling: A technique for global microcode compaction
-
A. Fisher. Trace scheduling: A technique for global microcode compaction. IEEE Transactions on Computers, C-30(7):478-490, 1981.
-
(1981)
IEEE Transactions on Computers
, vol.C-30
, Issue.7
, pp. 478-490
-
-
Fisher, A.1
-
16
-
-
33749324428
-
Dynamic functional unit assignment for low power
-
S. Haga, N. Reeves, R. Barua, and D. Marculescu. Dynamic functional unit assignment for low power. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, pp. 1052-1057, 2003.
-
(2003)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition
, pp. 1052-1057
-
-
Haga, S.1
Reeves, N.2
Barua, R.3
Marculescu, D.4
-
19
-
-
0034462496
-
A framework for dynamic energy efficiency and temperature management
-
W. Huang, J. Renau, S.-M. Yoo, and J. Torellas. A framework for dynamic energy efficiency and temperature management. In Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, pp. 202-213, 2000.
-
(2000)
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 202-213
-
-
Huang, W.1
Renau, J.2
Yoo, S.-M.3
Torellas, J.4
-
20
-
-
0027595384
-
The superblock: An effective technique for VL1W and superscalar compilation
-
W.W. Hwu, S.A. Mahlke, W.Y. Chen, P.P. Chang, N.J. Warter, R.A. Bringmann, R.G. Ouellette, R.E. Hank, T. Kiyohara, G.E. Haab, J.G. Holm, and D.M. Lavery. The superblock: An effective technique for VL1W and superscalar compilation. The Journal of Supercomputing, 7:229-248, 1993.
-
(1993)
The Journal of Supercomputing
, vol.7
, pp. 229-248
-
-
Hwu, W.W.1
Mahlke, S.A.2
Chen, W.Y.3
Chang, P.P.4
Warter, N.J.5
Bringmann, R.A.6
Ouellette, R.G.7
Hank, R.E.8
Kiyohara, T.9
Haab, G.E.10
Holm, J.G.11
Lavery, D.M.12
-
21
-
-
1442288679
-
Adapting instruction level parallelism for optimizing leakage in VLIW architectures
-
H.S. Kim, N. Vijaykrishnan, M. Kandemir, and M.J. Irwin. Adapting Instruction Level Parallelism for Optimizing Leakage in VLIW Architectures. In Proceedings of the 2003 ACM SIGPLAN conference on Language, Compiler, and Tool for Embedded Systems, pp. 275-283, 2003.
-
(2003)
Proceedings of the 2003 ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems
, pp. 275-283
-
-
Kim, H.S.1
Vijaykrishnan, N.2
Kandemir, M.3
Irwin, M.J.4
-
22
-
-
81355162823
-
Compiler optimization on instruction scheduling for low power
-
C. Lee, J. K. Lee, T. Hwang, and S. Tsai. Compiler optimization on instruction scheduling for low power. In Proceedings of the 13th International Symposium on System Synthesis, pp. 55-60, 2000.
-
(2000)
Proceedings of the 13th International Symposium on System Synthesis
, pp. 55-60
-
-
Lee, C.1
Lee, J.K.2
Hwang, T.3
Tsai, S.4
-
24
-
-
0026980852
-
Effective compiler support for predicated execution using the hyperblock
-
S. Mahlke, D. Lin, W. Chen, R. Hank, and R. Bringmann. Effective Compiler Support for Predicated Execution Using the Hyperblock. In Proceedings of the 25th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 45-54, 1992.
-
(1992)
Proceedings of the 25th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 45-54
-
-
Mahlke, S.1
Lin, D.2
Chen, W.3
Hank, R.4
Bringmann, R.5
-
29
-
-
33746099460
-
The design and implementation of a first-generation CELL Processor: A multi-core supercomputer SoC
-
D. C. Pham. The design and implementation of a first-generation CELL Processor: A multi-core supercomputer SoC. In Proceedings of International Forum on Application Specific MPSoC, 2005.
-
(2005)
Proceedings of International Forum on Application Specific MPSoC
-
-
Pham, D.C.1
-
32
-
-
85009352442
-
Temperature aware microarchitecture: Modeling and implementation
-
K. Skadron, K. Sankaranarayanan, S. Velusamy, D. Tarjan, M.R. Stan, and W. Huang. Temperature aware microarchitecture: modeling and implementation. ACM Transactions on Architecture and Code Optimization, 1(1):94-125, 2004.
-
(2004)
ACM Transactions on Architecture and Code Optimization
, vol.1
, Issue.1
, pp. 94-125
-
-
Skadron, K.1
Sankaranarayanan, K.2
Velusamy, S.3
Tarjan, D.4
Stan, M.R.5
Huang, W.6
-
33
-
-
0038684860
-
Temperature-aware microarchitecture
-
K. Skadron, M.R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, Temperature-aware microarchitecture. In Proceedings of the 30th Annual International Symposium on Computer Architecture, pp. 2-13, 2003.
-
(2003)
Proceedings of the 30th Annual International Symposium on Computer Architecture
, pp. 2-13
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
36
-
-
9244264947
-
Characterization and modeling of run-time techniques for leakage power reduction
-
Y-F. Tsai, D.E. Duarte, N. Vijaykrishnan, and M. J. Irwin. Characterization and modeling of run-time techniques for leakage power reduction. IEEE Transactions on Very Large Scale Integration Systems, 12(11):1221-1233, 2004.
-
(2004)
IEEE Transactions on Very Large Scale Integration Systems
, vol.12
, Issue.11
, pp. 1221-1233
-
-
Tsai, Y.-F.1
Duarte, D.E.2
Vijaykrishnan, N.3
Irwin, M.J.4
-
37
-
-
14844296421
-
ChipPower: An architecture-level leakage simulator
-
Y-F. Tsai, A. Hegde, N. Vijaykrishnan, and M. J. Irwin. ChipPower: An Architecture-Level Leakage Simulator. In Proceedings of IEEE International SoC Conference, pp. 395-398, 2004.
-
(2004)
Proceedings of IEEE International SoC Conference
, pp. 395-398
-
-
Tsai, Y.-F.1
Hegde, A.2
Vijaykrishnan, N.3
Irwin, M.J.4
-
39
-
-
0035694661
-
Exploiting VLIW schedule slacks for dynamic and leakage energy reduction
-
W. Zhang, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, D. Duarte, and Y. Tsai. Exploiting VLIW schedule slacks for dynamic and leakage energy reduction. In Proceedings of the 34th Annual IEEE/ACM International Symposium, on Microarchitecture, pp. 102-113, 2001.
-
(2001)
Proceedings of the 34th Annual IEEE/ACM International Symposium, on Microarchitecture
, pp. 102-113
-
-
Zhang, W.1
Vijaykrishnan, N.2
Kandemir, M.3
Irwin, M.J.4
Duarte, D.5
Tsai, Y.6
|