-
1
-
-
20344403770
-
Montecito: A dual-core, dual-thread Itanium processor
-
March/April
-
C. McNairy and R. Bhatia. Montecito: A dual-core, dual-thread Itanium processor. IEEE Micro, pages 10-20, March/April 2005.
-
(2005)
IEEE Micro
, pp. 10-20
-
-
McNairy, C.1
Bhatia, R.2
-
2
-
-
20344374162
-
Niagara: A 32-way multithreaded Sparc processor
-
March/April
-
P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-way multithreaded Sparc processor. IEEE Micro, pages 21-29, March/April 2005.
-
(2005)
IEEE Micro
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
3
-
-
3042669130
-
IBM Power5 Chip: A Dual-Core Multithreaded Processor
-
March/April
-
R. Kalla, B. Sinharoy, and J.M. Tendler. IBM Power5 Chip: A Dual-Core Multithreaded Processor. IEEE MICRO, pages 40-47, March/April 2004.
-
(2004)
IEEE MICRO
, pp. 40-47
-
-
Kalla, R.1
Sinharoy, B.2
Tendler, J.M.3
-
5
-
-
85009352442
-
-
Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang, Sivakumar Velusamy, and David Tarjan. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Archit. Code Optim., 1(1):94-125, 2004.
-
Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang, Sivakumar Velusamy, and David Tarjan. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Archit. Code Optim., 1(1):94-125, 2004.
-
-
-
-
6
-
-
85022174968
-
Intel. White paper: Superior performance with dual-core
-
Technical report, Intel, 2005
-
Intel. White paper: Superior performance with dual-core. Technical report, Intel, 2005.
-
-
-
-
7
-
-
34547428365
-
The convergence of multicore x86 processing and 64-bit operating systems - white paper
-
The next evolution in enterprise computing:, Technical report, Advanced Micro Devices Inc, April
-
Kelly Quinn, Jessica Yang, and Vernon Turner. The next evolution in enterprise computing: The convergence of multicore x86 processing and 64-bit operating systems - white paper. Technical report, Advanced Micro Devices Inc., April 2005.
-
(2005)
-
-
Quinn, K.1
Yang, J.2
Turner, V.3
-
8
-
-
33644879118
-
-
January
-
Jose Renau, Basilio Fraguela, James Tuck, Wei Liu, Milos Prvulovic, Luis Ceze, Smruti Sarangi, Paul Sack, Karin Strauss, and Pablo Montesinos. SESC simulator, January 2005. http://sesc.sourceforge.net.
-
(2005)
SESC simulator
-
-
Renau, J.1
Fraguela, B.2
Tuck, J.3
Liu, W.4
Prvulovic, M.5
Ceze, L.6
Sarangi, S.7
Sack, P.8
Strauss, K.9
Montesinos, P.10
-
10
-
-
34547426274
-
-
Premkishore Shivakumar and Norman P. Jouppi. CACTI 3.0: An integrated cache timing, power, and area model. Technical Report 2001/2, Western Research Laboratory, Compaq, 2001.
-
Premkishore Shivakumar and Norman P. Jouppi. CACTI 3.0: An integrated cache timing, power, and area model. Technical Report 2001/2, Western Research Laboratory, Compaq, 2001.
-
-
-
-
11
-
-
0035187053
-
Exploring the design space of future cmps
-
Washington, DC, USA, IEEE Computer Society
-
J. Huh, D. Burger, and S. Keckler. Exploring the design space of future cmps. In PACT'01: Proceedings of the 10th International Conference on Parallel Architectures and Compilation Techniques, pages 199-210, Washington, DC, USA, 2001. IEEE Computer Society.
-
(2001)
PACT'01: Proceedings of the 10th International Conference on Parallel Architectures and Compilation Techniques
, pp. 199-210
-
-
Huh, J.1
Burger, D.2
Keckler, S.3
-
12
-
-
84944745122
-
Performance and power impact of issue-width in chip-multiprocessor cores
-
Washington, DC, USA, IEEE Computer Society
-
M. Ekman and P. Stenstrom. Performance and power impact of issue-width in chip-multiprocessor cores. In ICPP'03: Proceedings of the 2003 International Conference on Parallel Processing, pages 359-369, Washington, DC, USA, 2003. IEEE Computer Society.
-
(2003)
ICPP'03: Proceedings of the 2003 International Conference on Parallel Processing
, pp. 359-369
-
-
Ekman, M.1
Stenstrom, P.2
-
13
-
-
79958185679
-
Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads
-
New York, NY, USA, ACM Press
-
Stefanos Kaxiras, Girija Narlikar, Alan D. Berenbaum, and Zhigang Hu. Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. In CASES '01: Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, pages 211-220, New York, NY, USA, 2001. ACM Press.
-
(2001)
CASES '01: Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
, pp. 211-220
-
-
Kaxiras, S.1
Narlikar, G.2
Berenbaum, A.D.3
Hu, Z.4
-
14
-
-
17644370078
-
Best of both latency and throughput
-
Washington, DC, USA, IEEE Computer Society
-
Ed Grochowski, Ronny Ronen, John Shen, and Hong Wang. Best of both latency and throughput. In ICCD '04: Proceedings of the IEEE International Conference on Computer Design (ICCD'04), pages 236-243, Washington, DC, USA, 2004. IEEE Computer Society.
-
(2004)
ICCD '04: Proceedings of the IEEE International Conference on Computer Design (ICCD'04)
, pp. 236-243
-
-
Grochowski, E.1
Ronen, R.2
Shen, J.3
Wang, H.4
-
15
-
-
33744504467
-
Power-performance implications of thread-level parallelism on chip multiprocessors
-
Washington, DC, USA, IEEE Computer Society
-
J. Li and J.F. Martinez. Power-performance implications of thread-level parallelism on chip multiprocessors. In ISPASS'05: Proceedings of the 2005 International Symposium on Performance Analysis of Systems and Software, pages 124-134, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
ISPASS'05: Proceedings of the 2005 International Symposium on Performance Analysis of Systems and Software
, pp. 124-134
-
-
Li, J.1
Martinez, J.F.2
-
17
-
-
28444470490
-
Performance, energy, and thermal considerations for SMT and CMP architectures
-
Washington, DC, USA, IEEE Computer Society
-
Yingmin Li, David Brooks, Zhigang Hu, and Kevin Skadron. Performance, energy, and thermal considerations for SMT and CMP architectures. In HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture, pages 71-82, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture
, pp. 71-82
-
-
Li, Y.1
Brooks, D.2
Hu, Z.3
Skadron, K.4
-
18
-
-
33748857902
-
CMP design space exploration subject to physical constraints
-
Washington, DC, USA, IEEE Computer Society
-
Y. Li, B. Lee, D. Brooks, Z. Hu, and K. Skadron. CMP design space exploration subject to physical constraints. In HPCA '06: Proceedings of the 12th International Symposium on High Performance Computer Architecture, Washington, DC, USA, 2006. IEEE Computer Society.
-
(2006)
HPCA '06: Proceedings of the 12th International Symposium on High Performance Computer Architecture
-
-
Li, Y.1
Lee, B.2
Brooks, D.3
Hu, Z.4
Skadron, K.5
-
19
-
-
27544456315
-
Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling
-
Washington, DC, USA, IEEE Computer Society
-
Rakesh Kumar, Victor Zyuban, and Dean M. Tullsen. Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. In ISCA '05: Proceedings of the 32nd Annual International Symposium on Computer Architecture, pages 408-419, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
ISCA '05: Proceedings of the 32nd Annual International Symposium on Computer Architecture
, pp. 408-419
-
-
Kumar, R.1
Zyuban, V.2
Tullsen, D.M.3
-
21
-
-
28444447997
-
Distributing the frontend for temperature reduction
-
Washington, DC, USA, IEEE Computer Society
-
Pedro Chaparro, Grigorios Magklis, Jose Gonzalez, and Antonio Gonzalez. Distributing the frontend for temperature reduction. In HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture, pages 61-70, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture
, pp. 61-70
-
-
Chaparro, P.1
Magklis, G.2
Gonzalez, J.3
Gonzalez, A.4
-
22
-
-
33749396826
-
Thermal management of on-chip caches through power density minimization
-
Washington, DC, USA, IEEE Computer Society
-
Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, and Yehea Ismail. Thermal management of on-chip caches through power density minimization. In MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, pages 283-293, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture
, pp. 283-293
-
-
Chun, J.1
Ku, S.O.2
Memik, G.3
Ismail, Y.4
-
23
-
-
0348017034
-
Balancing hardware intensity in microprocessor pipelines
-
V. Zyuban and P. N. Strenski. Balancing hardware intensity in microprocessor pipelines. IBM Journal of Research & Development, 47(5-6):585-598, 2003.
-
(2003)
IBM Journal of Research & Development
, vol.47
, Issue.5-6
, pp. 585-598
-
-
Zyuban, V.1
Strenski, P.N.2
-
24
-
-
0032639289
-
The Alpha 21264 microprocessor
-
R. E. Kessler. The Alpha 21264 microprocessor. IEEE Micro, 19(2):24.
-
IEEE Micro
, vol.19
, Issue.2
, pp. 24
-
-
Kessler, R.E.1
-
25
-
-
0030676681
-
Complexity-effective superscalar processors
-
New York, NY, USA, ACM Press
-
Subbarao Palacharla, Norman P. Jouppi, and J. E. Smith. Complexity-effective superscalar processors. In ISCA '97: Proceedings of the 24th annual international symposium on Computer architecture, pages 206-218, New York, NY, USA, 1997. ACM Press.
-
(1997)
ISCA '97: Proceedings of the 24th annual international symposium on Computer architecture
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.P.2
Smith, J.E.3
-
26
-
-
84948976085
-
Orion: A power-performance simulator for interconnection networks
-
Hang-Sheng Wang, Xinping Zhu, Li-Shiuan Peh, and Sharad Malik. Orion: a power-performance simulator for interconnection networks. In Proc. of the 35th annual ACM/IEEE International Symposium on Microarchitecture, pages 294-305, 2002.
-
(2002)
Proc. of the 35th annual ACM/IEEE International Symposium on Microarchitecture
, pp. 294-305
-
-
Wang, H.1
Zhu, X.2
Peh, L.3
Malik, S.4
-
28
-
-
0029194459
-
-
Steven Cameron Woo, Moriyoshi Ohara, Evan Torrie, Jaswinder Pal Singh, and Anoop Gupta. The SPLASH-2 programs: characterization and methodological considerations. In ISCA '95: Proceedings of the 22nd annual International Symposium on Computer Architecture, pages 24-36, New York, NY, USA, 1995. ACM Press.
-
Steven Cameron Woo, Moriyoshi Ohara, Evan Torrie, Jaswinder Pal Singh, and Anoop Gupta. The SPLASH-2 programs: characterization and methodological considerations. In ISCA '95: Proceedings of the 22nd annual International Symposium on Computer Architecture, pages 24-36, New York, NY, USA, 1995. ACM Press.
-
-
-
-
29
-
-
33749052315
-
The alpbench benchmark suite for complex multimedia applications
-
Washington, DC, USA, IEEE Computer Society
-
Man-Lap Li, Ruchira Sasanka, Sarita V. Adve, Yen-Kuang Chen, and Eric Debes. The alpbench benchmark suite for complex multimedia applications. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC-2005), Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
Proceedings of the IEEE International Symposium on Workload Characterization (IISWC-2005)
-
-
Li, M.1
Sasanka, R.2
Adve, S.V.3
Chen, Y.4
Debes, E.5
|