-
1
-
-
49749201891
-
Current-induced marker motion in gold wires
-
H. B. Huntington and A. R. Grone, "Current-induced marker motion in gold wires," J. Phys. Chem. Solids, vol. 20, pp. 76-87, 1961.
-
(1961)
J. Phys. Chem. Solids
, vol.20
, pp. 76-87
-
-
Huntington, H.B.1
Grone, A.R.2
-
2
-
-
1842617942
-
Electromigration in metals
-
P. S. Ho and T. Kwok, "Electromigration in metals," Rep. Progr. Phys., vol. 52, pp. 301-347, 1989.
-
(1989)
Rep. Progr. Phys.
, vol.52
, pp. 301-347
-
-
Ho, P.S.1
Kwok, T.2
-
3
-
-
0033709195
-
Copper metallization for high performance silicon technology
-
R. Rosenberg, D. C. Edelstein, C.-K. Hu, and P. Rodbell, "Copper metallization for high performance silicon technology," Annu. Rev. Mater. Sci., vol. 30, pp. 229-262, 2000.
-
(2000)
Annu. Rev. Mater. Sci.
, vol.30
, pp. 229-262
-
-
Rosenberg, R.1
Edelstein, D.C.2
Hu, C.-K.3
Rodbell, P.4
-
4
-
-
0029327239
-
Electromigration in copper conductors
-
J. R. Lloyd and J. J. Clement, "Electromigration in copper conductors," Thin Solid Films, vol. 262, pp. 135-141, 1995.
-
(1995)
Thin Solid Films
, vol.262
, pp. 135-141
-
-
Lloyd, J.R.1
Clement, J.J.2
-
5
-
-
0000034975
-
Electromigration path in Cu thin-film lines
-
C.-K. Hu, R. Rosenberg, and K. Y. Lee, "Electromigration path in Cu thin-film lines," Appl. Phys. Lett., vol. 74, pp. 2945-2947, 1999.
-
(1999)
Appl. Phys. Lett.
, vol.74
, pp. 2945-2947
-
-
Hu, C.-K.1
Rosenberg, R.2
Lee, K.Y.3
-
6
-
-
0019487986
-
Effect of texture and grain structure on electromigration in Al-0.5% Cu thin films
-
S. Vaidya and A. K. Shinha, "Effect of texture and grain structure on electromigration in Al-0.5% Cu thin films," Thin Solid Films, vol. 75, pp. 253-259, 1981.
-
(1981)
Thin Solid Films
, vol.75
, pp. 253-259
-
-
Vaidya, S.1
Shinha, A.K.2
-
7
-
-
0037323106
-
Relationship between interfacial adhesion and electromigration in Cu metallization
-
M. W. Lane, E. G. Liniger, and J. R. Lloyd, "Relationship between interfacial adhesion and electromigration in Cu metallization," J. Appl. Phys., vol. 93, pp. 1417-1421, 2003.
-
(2003)
J. Appl. Phys.
, vol.93
, pp. 1417-1421
-
-
Lane, M.W.1
Liniger, E.G.2
Lloyd, J.R.3
-
8
-
-
0000722238
-
Grain size dependence of electromigration-induced failures in narrow interconnects
-
J. Cho and C. V. Thomspon, "Grain size dependence of electromigration-induced failures in narrow interconnects," Appl. Phys. Lett. vol. 54, pp. 2577-2579, 1989.
-
(1989)
Appl. Phys. Lett.
, vol.54
, pp. 2577-2579
-
-
Cho, J.1
Thomspon, C.V.2
-
9
-
-
0038035318
-
Stress evolution due to electromigration in confined metal lines
-
M. A. Korhonen, P. Borgesen, K. N. Tu, and C.-Y. Li, "Stress evolution due to electromigration in confined metal lines," J. Appl. Phys., vol. 73, pp. 3790-3799, 1993.
-
(1993)
J. Appl. Phys.
, vol.73
, pp. 3790-3799
-
-
Korhonen, M.A.1
Borgesen, P.2
Tu, K.N.3
Li, C.-Y.4
-
10
-
-
0000160317
-
Simulation of stress evolution and the current density scaling of electromigration-induced failure times in pure and alloyed interconnects
-
Y.-J. Park, V. K. Andleigh, and C. V. Thompson, "Simulation of stress evolution and the current density scaling of electromigration-induced failure times in pure and alloyed interconnects," J. Appl. Phys., vol. 85, pp. 3546-3555, 1999.
-
(1999)
J. Appl. Phys.
, vol.85
, pp. 3546-3555
-
-
Park, Y.-J.1
Andleigh, V.K.2
Thompson, C.V.3
-
11
-
-
84949187927
-
Electromigration study of Cu/low k dual-damascene interconnects
-
K.-D. Lee, X. Lu, E. T. Ogawa, H. Matsuhashi, and P. S. Ho, "Electromigration study of Cu/low k dual-damascene interconnects," in Proc. IEEE Int. Reliability Physics Symp., 2002, pp. 322-326.
-
(2002)
Proc. IEEE Int. Reliability Physics Symp.
, pp. 322-326
-
-
Lee, K.-D.1
Lu, X.2
Ogawa, E.T.3
Matsuhashi, H.4
Ho, P.S.5
-
12
-
-
0344946287
-
Effect of electron flow direction on model parameters of electromigration-induced failure of copper interconnects
-
D. Padhi and G. Dixit, "Effect of electron flow direction on model parameters of electromigration-induced failure of copper interconnects," J. Appl. Phys., vol. 94, pp. 6463-6467, 2003.
-
(2003)
J. Appl. Phys.
, vol.94
, pp. 6463-6467
-
-
Padhi, D.1
Dixit, G.2
-
13
-
-
0035981074
-
Effect of current direction on the lifetime of different levels of Cu dual-damascene metallization
-
C. L. Gan, C. V. Thompson, K. L. Pey, W. K. Choi, H. L. Tay, B. Yu, and M. K. Radhakrishnan, "Effect of current direction on the lifetime of different levels of Cu dual-damascene metallization," Appl. Phys. Lett., vol. 79, pp. 4592-4594, 2001.
-
(2001)
Appl. Phys. Lett.
, vol.79
, pp. 4592-4594
-
-
Gan, C.L.1
Thompson, C.V.2
Pey, K.L.3
Choi, W.K.4
Tay, H.L.5
Yu, B.6
Radhakrishnan, M.K.7
-
14
-
-
0027887532
-
Stress-induced void formation in metallization for integrated circuits
-
H. Okabayashi, "Stress-induced void formation in metallization for integrated circuits," Mater. Sci. Eng., vol. R11, pp. 191-241, 1993.
-
(1993)
Mater. Sci. Eng.
, vol.R11
, pp. 191-241
-
-
Okabayashi, H.1
-
15
-
-
0001163312
-
A model for stress-induced metal notching and voiding in very large-scale-integrated Al-Si(1%) metallization
-
J. W. McPherson and C. F. Dunn, "A model for stress-induced metal notching and voiding in very large-scale-integrated Al-Si(1%) metallization," J. Vac. Sci. & Tech., vol. B5, no. 5, pp. 1321-1325, 1987.
-
(1987)
J. Vac. Sci. & Tech.
, vol.B5
, Issue.5
, pp. 1321-1325
-
-
McPherson, J.W.1
Dunn, C.F.2
-
16
-
-
0000972637
-
A study on stress-induced migration in aluminum metallization based on direct stress measurements
-
May/June
-
K. Hinode, I. Asano, T. Ishiba, and Y. Homma, "A study on stress-induced migration in aluminum metallization based on direct stress measurements," J. Vac. Sci. Technol. vol. B8, no. 3, pp. 495-498, May/June 1990.
-
(1990)
J. Vac. Sci. Technol.
, vol.B8
, Issue.3
, pp. 495-498
-
-
Hinode, K.1
Asano, I.2
Ishiba, T.3
Homma, Y.4
-
17
-
-
0000977148
-
Stress migration resistance and contact characterization of Al-Pd-Si interconnects for very large scale integrations
-
Nov./Dec.
-
Y. Koubuchi, J. Onuki, M. Suwa, S. Fukada, S. Moribe, and Y. Tanigaki, "Stress migration resistance and contact characterization of Al-Pd-Si interconnects for very large scale integrations," J. Vac. Sci. Technol., vol. B8, no. 6, pp. 1232-1238, Nov./Dec. 1990.
-
(1990)
J. Vac. Sci. Technol.
, vol.B8
, Issue.6
, pp. 1232-1238
-
-
Koubuchi, Y.1
Onuki, J.2
Suwa, M.3
Fukada, S.4
Moribe, S.5
Tanigaki, Y.6
-
18
-
-
0025646298
-
Measurement of three dimensional stress an modeling of stress induced migration failure in aluminum interconnects
-
A. Tezaki, T. Mineta, H. Egawa, and T. Noguchi, "Measurement of three dimensional stress an modeling of stress induced migration failure in aluminum interconnects," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 1990, pp. 221-229.
-
(1990)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 221-229
-
-
Tezaki, A.1
Mineta, T.2
Egawa, H.3
Noguchi, T.4
-
20
-
-
0026137805
-
Effects of line size on thermal stress in aluminum conductors
-
T. Hosoda, H. Niwa, H. Yagi, and H. Tsuchikawa, "Effects of line size on thermal stress in aluminum conductors," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 1991, pp. 77-83.
-
(1991)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 77-83
-
-
Hosoda, T.1
Niwa, H.2
Yagi, H.3
Tsuchikawa, H.4
-
21
-
-
0009634763
-
-
Ph.D. dissertation, Univ. Texas, Austin, TX, May
-
J. Kasturirangan, "Thermal stress and microstructure in Al(Cu) and Cu interconnects for advanced ULSI applications," Ph.D. dissertation, Univ. Texas, Austin, TX, May 1998.
-
(1998)
Thermal Stress and Microstructure in Al(Cu) and Cu Interconnects for Advanced ULSI Applications
-
-
Kasturirangan, J.1
-
22
-
-
0001106749
-
Characteristics of thermal stresses in Al(Cu) fine lines. I. Unpassivated line structures
-
July 15
-
I. S. Yeo, P. S. Ho, and S. G. H. Anderson, "Characteristics of thermal stresses in Al(Cu) fine lines. I. Unpassivated line structures," J. Appl. Phys., vol. 78, no. 2, pp. 945-952, July 15, 1995.
-
(1995)
J. Appl. Phys.
, vol.78
, Issue.2
, pp. 945-952
-
-
Yeo, I.S.1
Ho, P.S.2
Anderson, S.G.H.3
-
23
-
-
0001106750
-
Characteristics of thermal stresses in Al(Cu) fine lines. II. Passivated line structures
-
July 15
-
I. S. Yeo, S. G. H. Anderson, P. S. Ho, and C. K. Hu, "Characteristics of thermal stresses in Al(Cu) fine lines. II. Passivated line structures," J. Appl. Phys., vol. 78, no. 2, pp. 953-961, July 15, 1995.
-
(1995)
J. Appl. Phys.
, vol.78
, Issue.2
, pp. 953-961
-
-
Yeo, I.S.1
Anderson, S.G.H.2
Ho, P.S.3
Hu, C.K.4
-
24
-
-
36449009582
-
Stress relaxation of passivated aluminum line metallizations on silicon substrate
-
Feb. 1
-
M. A. Korhonen, R. D. Black, and C.-Y. Li, "Stress relaxation of passivated aluminum line metallizations on silicon substrate," J. Appl, Phys., vol. 69, no. 3, pp. 1748-1755, Feb. 1, 1991.
-
(1991)
J. Appl, Phys.
, vol.69
, Issue.3
, pp. 1748-1755
-
-
Korhonen, M.A.1
Black, R.D.2
Li, C.-Y.3
-
25
-
-
0001411134
-
Mechanisms of thermal stress relaxation and stress-induced voiding in narrow aluminum-based metallizations
-
June 15
-
M. A. Korhonen, C. A. Paszkiet, and C.-Y. Li, "Mechanisms of thermal stress relaxation and stress-induced voiding in narrow aluminum-based metallizations," J. Appl. Phys., vol. 69, no. 12, pp. 8086-8091, June 15, 1991.
-
(1991)
J. Appl. Phys.
, vol.69
, Issue.12
, pp. 8086-8091
-
-
Korhonen, M.A.1
Paszkiet, C.A.2
Li, C.-Y.3
-
26
-
-
0000661305
-
Stressinduced nucleation of voids in narrow aluminum-based metallization on silicon substrates
-
Dec. 1
-
M. A. Korhonen, W. R. LaFontaine, P. Børgesen, and C.-Y. Li, "Stressinduced nucleation of voids in narrow aluminum-based metallization on silicon substrates," J. Appl. Phys., vol. 70, no. 11, pp. 6774-6781, Dec. 1, 1991.
-
(1991)
J. Appl. Phys.
, vol.70
, Issue.11
, pp. 6774-6781
-
-
Korhonen, M.A.1
Lafontaine, W.R.2
Børgesen, P.3
Li, C.-Y.4
-
27
-
-
0024863689
-
Stress-driven diffusive voiding of aluminum conductor lines
-
F. G. Yost, D. E. Amos, and A. D. Romig Jr., "Stress-driven diffusive voiding of aluminum conductor lines," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 1989, pp. 193-201.
-
(1989)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 193-201
-
-
Yost, F.G.1
Amos, D.E.2
Romig Jr., A.D.3
-
28
-
-
78751524110
-
Stress-induced voiding under vias connected to wide Cu metal leads
-
E. T. Ogawa, J. W. McPherson, J. A. Rosal, K. J. Dickerson, T.-C. Chiu, L. Y. Tsung, M. K. Jain, T. D. Bonifield, J. C. Ondrusek, and W. R. McKee, "Stress-induced voiding under vias connected to wide Cu metal leads," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2002, pp. 312-321.
-
(2002)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 312-321
-
-
Ogawa, E.T.1
McPherson, J.W.2
Rosal, J.A.3
Dickerson, K.J.4
Chiu, T.-C.5
Tsung, L.Y.6
Jain, M.K.7
Bonifield, T.D.8
Ondrusek, J.C.9
McKee, W.R.10
-
29
-
-
85001136039
-
Characterization of thermal stresses of Cu/low-k submicron interconnect structures
-
S. H. Rhee, Y. Du, and P. S. Ho, "Characterization of thermal stresses of Cu/low-k submicron interconnect structures," in Proc. Int. Interconnect Technology Conf. (IITC), 2001, pp. 89-92.
-
(2001)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 89-92
-
-
Rhee, S.H.1
Du, Y.2
Ho, P.S.3
-
30
-
-
84961734442
-
Effects of dielectric material and linewidth on thermal stresses of Cu line structures
-
D. Gan, G. Wang, and P. S. Ho, "Effects of dielectric material and linewidth on thermal stresses of Cu line structures," in Proc. Int. Interconnect Technology Conf. (IITC), 2002, pp. 271-273.
-
(2002)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 271-273
-
-
Gan, D.1
Wang, G.2
Ho, P.S.3
-
31
-
-
84886448151
-
Full copper wiring in a sub-0.25 μ m CMOS ULSI technology
-
D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T. McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L. Su, S. Luce, and J. Slattery, "Full copper wiring in a sub-0.25 μ m CMOS ULSI technology," in Proc. IEEE Int. Electron Devices Meeting (IEDM), 1997, pp. 773-776.
-
(1997)
Proc. IEEE Int. Electron Devices Meeting (IEDM)
, pp. 773-776
-
-
Edelstein, D.1
Heidenreich, J.2
Goldblatt, R.3
Cote, W.4
Uzoh, C.5
Lustig, N.6
Roper, P.7
McDevitt, T.8
Motsiff, W.9
Simon, A.10
Dukovic, J.11
Wachnik, R.12
Rathore, H.13
Schulz, R.14
Su, L.15
Luce, S.16
Slattery, J.17
-
32
-
-
78751556896
-
Statistics of electromigration early failures in Cu/oxide dual-damascene interconnects
-
E. T. Ogawa, K.-D. Lee, H. Matsuhashi, K.-S. Ko, P. R. Justison, A. N. Ramamurthi, A. J. Bierwag, P. S. Ho, V. A. Blaschke, and R. H. Havemann, "Statistics of electromigration early failures in Cu/oxide dual-damascene interconnects," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2001, pp. 341-349.
-
(2001)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 341-349
-
-
Ogawa, E.T.1
Lee, K.-D.2
Matsuhashi, H.3
Ko, K.-S.4
Justison, P.R.5
Ramamurthi, A.N.6
Bierwag, A.J.7
Ho, P.S.8
Blaschke, V.A.9
Havemann, R.H.10
-
34
-
-
17744405835
-
Improvement of thermal stability of via resistance in dual damascene Cu interconnection
-
T. Oshima, T. Tamaru, K. Ohmori, H. Aoki, H. Ashibara, T. Saito, H. Yamaguchi, M. Miyauchi, K. Torii, J. Murata, A. Satoh, H. Miyasaki, and K. Hinode, "Improvement of thermal stability of via resistance in dual damascene Cu interconnection," in Proc. IEEE Int. Electron Devices Meeting (IEDM), 2000, pp. 123-126.
-
(2000)
Proc. IEEE Int. Electron Devices Meeting (IEDM)
, pp. 123-126
-
-
Oshima, T.1
Tamaru, T.2
Ohmori, K.3
Aoki, H.4
Ashibara, H.5
Saito, T.6
Yamaguchi, H.7
Miyauchi, M.8
Torii, K.9
Murata, J.10
Satoh, A.11
Miyasaki, H.12
Hinode, K.13
-
35
-
-
0035554813
-
Electromigration and stressvoiding investigations on dual damascene copper interconnects
-
A. von Glasow, A. H. Fischer, and S. Panka, "Electromigration and stressvoiding investigations on dual damascene copper interconnects," in Proc. Advanced Metallization Conf. (AMC), 2001, pp. 433-440.
-
(2001)
Proc. Advanced Metallization Conf. (AMC)
, pp. 433-440
-
-
Von Glasow, A.1
Fischer, A.H.2
Panka, S.3
-
36
-
-
84961732874
-
Thermal stress of 140-nm-width Cu damascene interconnects
-
N. Okada, Y. Matsubara, H. Kimua, H. Aizawa, and N. Nakanura, "Thermal stress of 140-nm-width Cu damascene interconnects," in Proc. Int. Interconnect Technology Conf. (IITC), 2002, pp. 136-138.
-
(2002)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 136-138
-
-
Okada, N.1
Matsubara, Y.2
Kimua, H.3
Aizawa, H.4
Nakanura, N.5
-
37
-
-
84961714965
-
Stress induced failure analysis by stress measurements, in copper dual damascene interconnects
-
H. Matsuyama, S. Ohtsuka, A. Yamanoue, T. Hosoda, T. Khono, Y. Matsuoka, K. Yanai, H. Matsuyama, H. Mori, N. Shimizu, T. Nakamura, S. Sugatani, K. Shono, and H. Yagi, "Stress induced failure analysis by stress measurements, in copper dual damascene interconnects," in Proc. Int. Interconnect Technology Conf. (IITC), 2002, pp. 229-230.
-
(2002)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 229-230
-
-
Matsuyama, H.1
Ohtsuka, S.2
Yamanoue, A.3
Hosoda, T.4
Khono, T.5
Matsuoka, Y.6
Yanai, K.7
Matsuyama, H.8
Mori, H.9
Shimizu, N.10
Nakamura, T.11
Sugatani, S.12
Shono, K.13
Yagi, H.14
-
38
-
-
30844461050
-
New approaches for the assessment of stress-induced voiding in Cu dual damascene interconnects
-
A. von Glasow and A. H. Fischer, "New approaches for the assessment of stress-induced voiding in Cu dual damascene interconnects," in Proc. Int. Interconnect Technology Conf. (IITC), 2002, pp. 161-167.
-
(2002)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 161-167
-
-
Von Glasow, A.1
Fischer, A.H.2
-
39
-
-
0036930468
-
Suppression of stress induced open failures between via and Cu wide line by inserting Ti layer under Ta/TaN barrier
-
M. Ueki, M. Hiroi, N. Ikarashi, T. Onodera, N. Furutake, M. Yshiki, and Y. Hayashi, "Suppression of stress induced open failures between via and Cu wide line by inserting Ti layer under Ta/TaN barrier," in Proc. IEEE Int. Electron Devices Meeting (IEDM), 2002, pp. 749-752.
-
(2002)
Proc. IEEE Int. Electron Devices Meeting (IEDM)
, pp. 749-752
-
-
Ueki, M.1
Hiroi, M.2
Ikarashi, N.3
Onodera, T.4
Furutake, N.5
Yshiki, M.6
Hayashi, Y.7
-
40
-
-
0036932387
-
Stress-induced voiding phenomena for an actual CMOS LSI interconnects
-
Y. Yoshida, T. Fujimaki, K. Miyamoto, T. Honma, H. Kaneko, H. Nakazawa, and M. Monta, "Stress-induced voiding phenomena for an actual CMOS LSI interconnects," in Proc. IEEE Int. Electron Devices Meeting (IEDM), 2002, pp. 753-756.
-
(2002)
Proc. IEEE Int. Electron Devices Meeting (IEDM)
, pp. 753-756
-
-
Yoshida, Y.1
Fujimaki, T.2
Miyamoto, K.3
Honma, T.4
Kaneko, H.5
Nakazawa, H.6
Monta, M.7
-
41
-
-
0036927922
-
Suppression of stress-induced voiding in copper interconnects
-
T. Oshima, K. Hinode, H. Yamaguchi, H. Aoki, K. Tbrii, T. Saito, K. Ishikawa, J. Noguchi, M. Fukui, T. Nakamura, S. Uno, K. Tsugane, J. Murata, K. Kikushima, H. Sekisaka, E. Murakami, K. Okuyama, and T. Iwasaki, "Suppression of stress-induced voiding in copper interconnects," in Proc. IEEE Int. Electron Devices Meeting (IEDM), 2002, pp. 757-760.
-
(2002)
Proc. IEEE Int. Electron Devices Meeting (IEDM)
, pp. 757-760
-
-
Oshima, T.1
Hinode, K.2
Yamaguchi, H.3
Aoki, H.4
Tbrii, K.5
Saito, T.6
Ishikawa, K.7
Noguchi, J.8
Fukui, M.9
Nakamura, T.10
Uno, S.11
Tsugane, K.12
Murata, J.13
Kikushima, K.14
Sekisaka, H.15
Murakami, E.16
Okuyama, K.17
Iwasaki, T.18
-
42
-
-
4043138841
-
Voiding in Cu dual damascene metallization due to Cu densification during thermal stress
-
C. Roussel, S. Kordic, O. Sicardy, M. Ignat, L. Dumas, S. Orain, and J. C. Barbe, "Voiding in Cu dual damascene metallization due to Cu densification during thermal stress," in Proc. Advanced Metallization Conf. (AMC), 2002, pp. 155-160.
-
(2002)
Proc. Advanced Metallization Conf. (AMC)
, pp. 155-160
-
-
Roussel, C.1
Kordic, S.2
Sicardy, O.3
Ignat, M.4
Dumas, L.5
Orain, S.6
Barbe, J.C.7
-
43
-
-
0038184885
-
Geometrical aspects of stress-induced voiding in copper interconnects
-
A. von Glasow, A. H. Fischer, M. Hierlemann, S. Penka, and F. Ungar, "Geometrical aspects of stress-induced voiding in copper interconnects," in Proc. Advanced Metallization Conf. (AMC), 2002, pp. 161-167.
-
(2002)
Proc. Advanced Metallization Conf. (AMC)
, pp. 161-167
-
-
Von Glasow, A.1
Fischer, A.H.2
Hierlemann, M.3
Penka, S.4
Ungar, F.5
-
44
-
-
4043133247
-
Improved thermal stability of copper vias using a cyclical stress test
-
G. B. Alers, J. J. Kuo, G. Harm, S. R. Weinzierl, and G. W. Ray, "Improved thermal stability of copper vias using a cyclical stress test," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 283-287.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 283-287
-
-
Alers, G.B.1
Kuo, J.J.2
Harm, G.3
Weinzierl, S.R.4
Ray, G.W.5
-
45
-
-
4043154331
-
A suppression of stress-induced voiding in Cu/low-k damascene interconnects using self-aligned metal capping method
-
H. Ashihara, K. Ishikawa, T. Oshima, K. Sasajima, N. Konishi, S. Uno, K. Tsugane, T. Iwasaki, and T. Saito, "A suppression of stress-induced voiding in Cu/low-k damascene interconnects using self-aligned metal capping method," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 589-594.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 589-594
-
-
Ashihara, H.1
Ishikawa, K.2
Oshima, T.3
Sasajima, K.4
Konishi, N.5
Uno, S.6
Tsugane, K.7
Iwasaki, T.8
Saito, T.9
-
46
-
-
78751560534
-
Stress-induced voiding in multi-level copper/low-k interconnects
-
Y. K. Lim, Y. H. Lim, C. S. Seet, B. C. Zhang, K. L. Chok, K. H. See, T. J. Lee, L.-C. Hsia, and K. L. Pey, "Stress-induced voiding in multi-level copper/low-k interconnects," presented at the IEEE Int. Reliability Physics Symp. (IRPS), 2004.
-
(2004)
IEEE Int. Reliability Physics Symp. (IRPS)
-
-
Lim, Y.K.1
Lim, Y.H.2
Seet, C.S.3
Zhang, B.C.4
Chok, K.L.5
See, K.H.6
Lee, T.J.7
Hsia, L.-C.8
Pey, K.L.9
-
47
-
-
78751522635
-
Stress modeling of Cu/low-k BEOL-application to stress migration
-
C. J. Zhai, W. Yao, P. Besser, A. Marathe, R. C. Blish II, D. Erb, C. Hau-Riege, S.Taylor, and K. O. Taylor, "Stress modeling of Cu/low-k BEOL-application to stress migration," presented at the IEEE Int. Reliability Physics Symp. (IRPS), 2004.
-
(2004)
IEEE Int. Reliability Physics Symp. (IRPS)
-
-
Zhai, C.J.1
Yao, W.2
Besser, P.3
Marathe, A.4
Blish II, R.C.5
Erb, D.6
Hau-Riege, C.7
Taylor, S.8
Taylor, K.O.9
-
48
-
-
5744220241
-
Stress voiding in wide copper lines
-
T. M. Shaw, L. Gignac, X.-H. Liu, R. R. Rosenberg, E. Levine, P. McLaughlin, P.-C. Wang, S. Greco, and G. Biery, "Stress voiding in wide copper lines," in Proc. 6th Int. Workshop on Stress-Induced Phenomena in Metallization, vol. 612, pp. 177-183.
-
Proc. 6th Int. Workshop on Stress-induced Phenomena in Metallization
, vol.612
, pp. 177-183
-
-
Shaw, T.M.1
Gignac, L.2
Liu, X.-H.3
Rosenberg, R.R.4
Levine, E.5
McLaughlin, P.6
Wang, P.-C.7
Greco, S.8
Biery, G.9
-
50
-
-
0031680437
-
Enhanced dielectric breakdown lifetime of the copper/silicon nitride/silicon dioxide structure
-
K. Takeda, K. Hinode, I. Oodake, N. Oohashi, and H. Yamaguchi, "Enhanced dielectric breakdown lifetime of the copper/silicon nitride/silicon dioxide structure," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 1999, pp. 36-41.
-
(1999)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 36-41
-
-
Takeda, K.1
Hinode, K.2
Oodake, I.3
Oohashi, N.4
Yamaguchi, H.5
-
51
-
-
0032645995
-
Leakage current degradation and carrier conduction mechanisms for Cu/BCB damascene process under bias-temperature stress
-
S. U. Kim, T. Cho, and P. S. Ho, "Leakage current degradation and carrier conduction mechanisms for Cu/BCB damascene process under bias-temperature stress," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 1999, pp. 277-282.
-
(1999)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 277-282
-
-
Kim, S.U.1
Cho, T.2
Ho, P.S.3
-
52
-
-
0033732438
-
TDDB improvement in Cu metallizatin under bias stress
-
J. Noguchi, N. Ohashi, J.-I. Yasuda, T. Jimbo, H. Yamaguchi, N. Owada, K.-I. Takeda, and K. Hinode, "TDDB improvement in Cu metallizatin under bias stress," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2000, pp. 339-343.
-
(2000)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 339-343
-
-
Noguchi, J.1
Ohashi, N.2
Yasuda, J.-I.3
Jimbo, T.4
Yamaguchi, H.5
Owada, N.6
Takeda, K.-I.7
Hinode, K.8
-
53
-
-
0033743065
-
Conduction processes in Cu/low-K interconnection
-
G. Bersuker, V. Blaschke, S. Choi, and S. Wick, "Conduction processes in Cu/low-K interconnection," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2000, pp. 344-347.
-
(2000)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 344-347
-
-
Bersuker, G.1
Blaschke, V.2
Choi, S.3
Wick, S.4
-
54
-
-
0033743064
-
Leakage and breakdown reliability issues associated with low-k dielectrics in a dual-damascene Cu process
-
R. Tsu, J. W. McPherson, and W. R. McKee, "Leakage and breakdown reliability issues associated with low-k dielectrics in a dual-damascene Cu process," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2000, pp. 348-353.
-
(2000)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 348-353
-
-
Tsu, R.1
McPherson, J.W.2
McKee, W.R.3
-
55
-
-
0013020208
-
Oxide wearout, breakdown and reliability
-
D. J. Dumin, "Oxide wearout, breakdown and reliability," Int. J. High Speed Electron, Syst., vol. 11, no. 3, pp. 617-718, 2001.
-
(2001)
Int. J. High Speed Electron, Syst.
, vol.11
, Issue.3
, pp. 617-718
-
-
Dumin, D.J.1
-
56
-
-
0030380739
-
Kinetics of copper drift in PECVD dielectrics
-
Dec.
-
A. L. S. Loke, C. Ryu, C. P. Yue, J. S. H. Cho, and S. S. Wong, "Kinetics of copper drift in PECVD dielectrics," IEEE Electron Device Lett., vol. 17, pp. 549-551, Dec. 1996.
-
(1996)
IEEE Electron Device Lett.
, vol.17
, pp. 549-551
-
-
Loke, A.L.S.1
Ryu, C.2
Yue, C.P.3
Cho, J.S.H.4
Wong, S.S.5
-
57
-
-
0033221292
-
Kinetics of copper drift in low-k polymer interlevel dielectrics
-
Nov.
-
A. L. S. Loke, J. T. Wetzel, P. H. Townsend, T. Tanabe, R. N. Vrtis, M. P. Zussman, D. Kumar, C. Ryu, and S. S. Wong, "Kinetics of copper drift in low-k polymer interlevel dielectrics," IEEE Trans. Electron Devices, vol. 46, pp. 2178-2187, Nov. 1999.
-
(1999)
IEEE Trans. Electron Devices
, vol.46
, pp. 2178-2187
-
-
Loke, A.L.S.1
Wetzel, J.T.2
Townsend, P.H.3
Tanabe, T.4
Vrtis, R.N.5
Zussman, M.P.6
Kumar, D.7
Ryu, C.8
Wong, S.S.9
-
59
-
-
0035555355
-
Triangular voltage sweep characterization of copper ion migration induced by pre-sputter etch in dual-damascene Cu/FSG interconnect structures
-
A. Mallikarjunan, R. Faust, R. Tsu, and N. M. Russell, "Triangular voltage sweep characterization of copper ion migration induced by pre-sputter etch in dual-damascene Cu/FSG interconnect structures," in Proc. Advanced Metallization Conf. (AMC), 2001, pp. 441-446.
-
(2001)
Proc. Advanced Metallization Conf. (AMC)
, pp. 441-446
-
-
Mallikarjunan, A.1
Faust, R.2
Tsu, R.3
Russell, N.M.4
-
60
-
-
23844484886
-
Bulk and interfacial leakage current in dielectric degradation of copper damascene interconnects
-
T. Yoshie, K. Yoneda, N. Ohashi, and N. Kobayashi, "Bulk and interfacial leakage current in dielectric degradation of copper damascene interconnects," in Proc. Int. Interconnect Technology Conf. (IITC), 2003, pp. 411-414.
-
(2003)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 411-414
-
-
Yoshie, T.1
Yoneda, K.2
Ohashi, N.3
Kobayashi, N.4
-
61
-
-
84961730203
-
TDDB reliability improvement in Cu damascene by using bilayer-structured PECVD SiC dielectric barrier
-
C. C. Chiang, M. C. Chen, Z. C. Wu, L. J. Li, S. M. Jang, C. H. Yu, and M. S. Liang, "TDDB reliability improvement in Cu damascene by using bilayer-structured PECVD SiC dielectric barrier," in Proc. 2002 Int. Interconnect Technology Conf. (IITC), 2002, pp. 200-202.
-
(2002)
Proc. 2002 Int. Interconnect Technology Conf. (IITC)
, pp. 200-202
-
-
Chiang, C.C.1
Chen, M.C.2
Wu, Z.C.3
Li, L.J.4
Jang, S.M.5
Yu, C.H.6
Liang, M.S.7
-
62
-
-
84944036573
-
Improvement of TDDB reliability in Cu damascene interconnect by using United Hard-Mask and Cap (UHC) structure
-
M. Tada, Y. Harada, H. Ohtake, S. Saito, T. Onodera, and Y. Hayashi, "Improvement of TDDB reliability in Cu damascene interconnect by using United Hard-Mask and Cap (UHC) structure," in Proc. 2003 Int. Interconnect Technology Conf. (IITC), 2003., pp. 256-258.
-
(2003)
Proc. 2003 Int. Interconnect Technology Conf. (IITC)
, pp. 256-258
-
-
Tada, M.1
Harada, Y.2
Ohtake, H.3
Saito, S.4
Onodera, T.5
Hayashi, Y.6
-
63
-
-
23844474785
-
Effect of diffusion barriers on electrical performance and reliability of Cu metallization in 0.13 μ m Cu ultra-low k technology
-
C. Y. Li, D. H. Zhang, P. W. Lu, S. S. Su, X. He, S. Balakumar, C. H. Seah, Y. W. Chen, X. T. Chen, N. Babu, B. R. Murthy, M. Mukoojee-Roy, and R. Kumar, "Effect of diffusion barriers on electrical performance and reliability of Cu metallization in 0.13 μ m Cu ultra-low k technology," in Proc. 2003 Int. Interconnect Technology Conf. (IITC), 2003, pp. 349-354.
-
(2003)
Proc. 2003 Int. Interconnect Technology Conf. (IITC)
, pp. 349-354
-
-
Li, C.Y.1
Zhang, D.H.2
Lu, P.W.3
Su, S.S.4
He, X.5
Balakumar, S.6
Seah, C.H.7
Chen, Y.W.8
Chen, X.T.9
Babu, N.10
Murthy, B.R.11
Mukoojee-Roy, M.12
Kumar, R.13
-
64
-
-
4043103533
-
Improvement of short defect density by controlling surface height of Cu line
-
S. Seo, H. Kawano, M. Satake, T. Hattori, T. Harada, Y. Itoh, and T. Ueda, "Improvement of short defect density by controlling surface height of Cu line," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 121-125.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 121-125
-
-
Seo, S.1
Kawano, H.2
Satake, M.3
Hattori, T.4
Harada, T.5
Itoh, Y.6
Ueda, T.7
-
65
-
-
4043116429
-
Improvement in Cu-CMP technology for 90-nm nodes
-
N. Konishi, Y. Yamada, J. Noguchi, and U. Tanaka, "Improvement in Cu-CMP technology for 90-nm nodes," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 127-132.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 127-132
-
-
Konishi, N.1
Yamada, Y.2
Noguchi, J.3
Tanaka, U.4
-
66
-
-
4043099160
-
Post Cu CMP surface modification for reliability improvement in 300 mm CLN90 BEOL Cu/low-k interconnect
-
T. J. Chou, Z. C. Wu, Y. L. Huang, S. H. Lin, W. Chang, S. M. Jang, and M. S. Liang, "Post Cu CMP surface modification for reliability improvement in 300 mm CLN90 BEOL Cu/low-k interconnect," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 433-437.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 433-437
-
-
Chou, T.J.1
Wu, Z.C.2
Huang, Y.L.3
Lin, S.H.4
Chang, W.5
Jang, S.M.6
Liang, M.S.7
-
67
-
-
4043107897
-
CMP process development and post-CMP defects studies on Cu/ultra low k materials with single damascene scheme
-
S. Balakumar, C. F. Tsang, and N. Matsuki, "CMP process development and post-CMP defects studies on Cu/ultra low k materials with single damascene scheme," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 613-620.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 613-620
-
-
Balakumar, S.1
Tsang, C.F.2
Matsuki, N.3
-
68
-
-
4043120567
-
The development of defect free post-CMP cleaning in Cu/low-k damascene wiring
-
Y. Noshioka, Y. Ariga, T. Inoue, K. Tokushige, and M. Tsujimura, "The development of defect free post-CMP cleaning in Cu/low-k damascene wiring," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 645-650.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 645-650
-
-
Noshioka, Y.1
Ariga, Y.2
Inoue, T.3
Tokushige, K.4
Tsujimura, M.5
-
69
-
-
4043165704
-
New method to improve electrical characteristics of low-k dielectrics in Cu-damascene interconnections
-
I. Kato, S. Sekiyama, S. Takeshiro, T. Fukuda, and H. Yanazawa, "New method to improve electrical characteristics of low-k dielectrics in Cu-damascene interconnections," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 699-704.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 699-704
-
-
Kato, I.1
Sekiyama, S.2
Takeshiro, S.3
Fukuda, T.4
Yanazawa, H.5
-
70
-
-
17644449286
-
Integration and reliability issues of Cu/SiOC interconnect for ArF/90 nm node SoC manufacturing
-
J. Noguchi, T. Oshima, U. Tanaka, K. Sasajima, H. Aoki, K. Sato, K. Ishikawa, T. Saito, N. Konishi, S. Hotta, S. Uno, and K. Kikushima, "Integration and reliability issues of Cu/SiOC interconnect for ArF/90 nm node SoC manufacturing," in Proc. IEEE Int. Electron Devices Meeting (IEDM), 2003, pp. 527-530.
-
(2003)
Proc. IEEE Int. Electron Devices Meeting (IEDM)
, pp. 527-530
-
-
Noguchi, J.1
Oshima, T.2
Tanaka, U.3
Sasajima, K.4
Aoki, H.5
Sato, K.6
Ishikawa, K.7
Saito, T.8
Konishi, N.9
Hotta, S.10
Uno, S.11
Kikushima, K.12
-
71
-
-
84891420150
-
Impact of low-k dielectrics and barrier metals on TDDB lifetime of Cu interconnects
-
J. Noguchi, T. Saito, N. Ohashi, H. Ashihara, H. Maruyama, M. Kubo, H. Yamaguchi, D. Ryuzaki, K. Takeda, and K. Hinode, "Impact of low-k dielectrics and barrier metals on TDDB lifetime of Cu interconnects," in Proc., JEEE Int. Reliability Physics Symp. (IRPS), 2001, pp. 355-359.
-
(2001)
Proc., JEEE Int. Reliability Physics Symp. (IRPS)
, pp. 355-359
-
-
Noguchi, J.1
Saito, T.2
Ohashi, N.3
Ashihara, H.4
Maruyama, H.5
Kubo, M.6
Yamaguchi, H.7
Ryuzaki, D.8
Takeda, K.9
Hinode, K.10
-
72
-
-
84949235812
-
Pseudo-breakdown events induced by biased-thermal-stressing of intra-level Cu interconnects-reliability & performance impact
-
W. S. Song, T. J. Kim, D. H. Lee, T. K. Kim, C. S. Lee, J. W. Kim, S. Y. Kim, D. K. Jeong, K. C. Park, Y. J. Wee, B. H. Suh, S. M. Choi, H.-K. Kang, K. P. Suh, and S. U. Kim, "Pseudo-breakdown events induced by biased-thermal-stressing of intra-level Cu interconnects-reliability & performance impact," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2002, pp. 305-311.
-
(2002)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 305-311
-
-
Song, W.S.1
Kim, T.J.2
Lee, D.H.3
Kim, T.K.4
Lee, C.S.5
Kim, J.W.6
Kim, S.Y.7
Jeong, D.K.8
Park, K.C.9
Wee, Y.J.10
Suh, B.H.11
Choi, S.M.12
Kang, H.-K.13
Suh, K.P.14
Kim, S.U.15
-
73
-
-
4043056659
-
Improving the electrical performance of Cu/CVD low k Coral interconnection-an exploration of SIC cap etch and Ta diffusion barrier deposition
-
C. F. Tsang, C. Y. Li, H. Y. Li, V. Bliznetsov, and Y. J. Su, "Improving the electrical performance of Cu/CVD low k Coral interconnection-an exploration of SIC cap etch and Ta diffusion barrier deposition," in Proc. Advanced Metallization Conf. (AMC), 2003, pp. 91-96.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
, pp. 91-96
-
-
Tsang, C.F.1
Li, C.Y.2
Li, H.Y.3
Bliznetsov, V.4
Su, Y.J.5
-
74
-
-
0011076409
-
2 thin films
-
2 thin films," J. Appl. Phys., vol. 83, no. 3, pp. 1513-1523, 1998.
-
(1998)
J. Appl. Phys.
, vol.83
, Issue.3
, pp. 1513-1523
-
-
McPherson, J.W.1
Mogul, H.C.2
-
76
-
-
84955240546
-
Leakage, breakdown and TDDB characteristics of porous low-k silicabased interconnect dielectrics
-
E. T. Ogawa, J. Kim, G. S. Haase, H. C. Mogul, and J. W. McPherson, "Leakage, breakdown and TDDB characteristics of porous low-k silicabased interconnect dielectrics," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2003, pp. 166-172.
-
(2003)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 166-172
-
-
Ogawa, E.T.1
Kim, J.2
Haase, G.S.3
Mogul, H.C.4
McPherson, J.W.5
-
77
-
-
23844477536
-
Failure mechanisms in dielectric barriers
-
G. B. Alers, M. Sanganeria, R. Shaviv, G. Kooi, K. Jow, and G. W. Ray, "Failure mechanisms in dielectric barriers," in Proc. Advanced Metallization Conf. (AMC), 2003.
-
(2003)
Proc. Advanced Metallization Conf. (AMC)
-
-
Alers, G.B.1
Sanganeria, M.2
Shaviv, R.3
Kooi, G.4
Jow, K.5
Ray, G.W.6
-
78
-
-
0037634524
-
Cu-ion-migration phenomena and its influence on TDDB lifetime in Cu metallization
-
J. Noguchi, N. Miura, M. Kubo, T. Tamara, H. Yamaguchi, N. Hamada, K. Makabe, R. Tsuneda, and K. Takeda, "Cu-ion-migration phenomena and its influence on TDDB lifetime in Cu metallization," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2003, pp. 282-286.
-
(2003)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 282-286
-
-
Noguchi, J.1
Miura, N.2
Kubo, M.3
Tamara, T.4
Yamaguchi, H.5
Hamada, N.6
Makabe, K.7
Tsuneda, R.8
Takeda, K.9
-
79
-
-
0038310066
-
A physical model of time-dependent dielectric breakdown in copper metallization
-
W. Wu, X. Duan, and J. S. Yuan, "A physical model of time-dependent dielectric breakdown in copper metallization," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 2003, pp. 282-286.
-
(2003)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 282-286
-
-
Wu, W.1
Duan, X.2
Yuan, J.S.3
-
82
-
-
0031705243
-
Elimination of bond-pad damage through structural reinforcement of intermetal dielectrics
-
M. Saran et al., "Elimination of bond-pad damage through structural reinforcement of intermetal dielectrics," in Proc. IEEE Int. Reliability Physics Symp. (IRPS), 1998, pp. 225-231.
-
(1998)
Proc. IEEE Int. Reliability Physics Symp. (IRPS)
, pp. 225-231
-
-
Saran, M.1
-
83
-
-
0000569517
-
Selected bibliography on adhesion measurement of films and coatings
-
K. L. Mittal, "Selected bibliography on adhesion measurement of films and coatings," J. Adh. Sci. Tech., vol. 1, no. 3, pp. 247-259, 1987.
-
(1987)
J. Adh. Sci. Tech.
, vol.1
, Issue.3
, pp. 247-259
-
-
Mittal, K.L.1
-
84
-
-
0031627857
-
Mechanical characterization of sub-micron polytetrafluoroethylene (FIFE) thin films
-
B. N. Lucas, C. T. Rosenmayer, and W. C. Oliver, "Mechanical characterization of sub-micron polytetrafluoroethylene (FIFE) thin films," in Thin Films: Stresses and Mechanical Properties VII, Proc. 1997 Fall MRS, pp. 97-102.
-
Thin Films: Stresses and Mechanical Properties VII, Proc. 1997 Fall MRS
, pp. 97-102
-
-
Lucas, B.N.1
Rosenmayer, C.T.2
Oliver, W.C.3
-
86
-
-
0029228534
-
Cracking during nanoindentation and its use in the measurement of fracture toughness
-
D. Harding, W. Oliver, and G. Pharr, "Cracking during nanoindentation and its use in the measurement of fracture toughness," in Materials Research Society Symp. Proc., vol. 356, 1995, pp. 663-668.
-
(1995)
Materials Research Society Symp. Proc.
, vol.356
, pp. 663-668
-
-
Harding, D.1
Oliver, W.2
Pharr, G.3
-
87
-
-
0032635756
-
Quantitative adhesion measures of multilayer films. Part I. Indentation mechanics
-
M. D. Kriese and W. W. Gerberich, "Quantitative adhesion measures of multilayer films. Part I. Indentation mechanics," J. Mater. Res., vol. 14, no. 7, pp. 3007-3018, 1999.
-
(1999)
J. Mater. Res.
, vol.14
, Issue.7
, pp. 3007-3018
-
-
Kriese, M.D.1
Gerberich, W.W.2
-
88
-
-
0026875935
-
Improved technique for determining hardness and elastic modulus using load and displacement sensing indentation experiments
-
W. C. Oliver and G. M. Pharr, "Improved technique for determining hardness and elastic modulus using load and displacement sensing indentation experiments," J. Mater. Res., vol. 7, no. 6, pp. 1564-1580, 1992.
-
(1992)
J. Mater. Res.
, vol.7
, Issue.6
, pp. 1564-1580
-
-
Oliver, W.C.1
Pharr, G.M.2
-
89
-
-
0141566457
-
Mechanical properties and fracture toughness of organo-silicate glass (OSG) low-k dielectric thin films for microelectronic applications
-
J. B. Vella, I. S. Adhihetty, K. Junker, and A. A. Volinsky, "Mechanical properties and fracture toughness of organo-silicate glass (OSG) low-k dielectric thin films for microelectronic applications," Int. J. Fracture, vol. 119/120, pp. 487-499, 2003.
-
(2003)
Int. J. Fracture
, vol.119-120
, pp. 487-499
-
-
Vella, J.B.1
Adhihetty, I.S.2
Junker, K.3
Volinsky, A.A.4
-
90
-
-
0041009583
-
Microinstruments for submicron material studies
-
M. T. A. Saif and N. C. MacDonald, "Microinstruments for submicron material studies," J. Mater. Res., vol. 13, no. 12, pp. 3353-3356, 1998.
-
(1998)
J. Mater. Res.
, vol.13
, Issue.12
, pp. 3353-3356
-
-
Saif, M.T.A.1
MacDonald, N.C.2
-
91
-
-
0026417295
-
Quantitative adhesion test for thin polymer films on silicon substrates
-
H. D. Goldber, G. S. Cha, and R. B. Brown, "Quantitative adhesion test for thin polymer films on silicon substrates," J. App. Poly Sci., vol. 42, pp. 1287-1292, 1991.
-
(1991)
J. App. Poly Sci.
, vol.42
, pp. 1287-1292
-
-
Goldber, H.D.1
Cha, G.S.2
Brown, R.B.3
-
92
-
-
84963405211
-
Application of the island blister test for thin film adhesion measurement
-
M. G. Alien and S. D. Senturia, "Application of the island blister test for thin film adhesion measurement," J. Adhesion, vol. 29, pp. 219-231, 1989.
-
(1989)
J. Adhesion
, vol.29
, pp. 219-231
-
-
Alien, M.G.1
Senturia, S.D.2
-
93
-
-
0031622801
-
Interlaminar fracture toughness: The long and winding road to standardization
-
K. T. O'-Brien, "Interlaminar fracture toughness: the long and winding road to standardization," Composites-Part B': Eng., vol. 29, no. 1, pp. 57-62, 1998.
-
(1998)
Composites-Part B': Eng.
, vol.29
, Issue.1
, pp. 57-62
-
-
O'-Brien, K.T.1
-
94
-
-
0032148208
-
Adhesion and debonding of multi-layer thin film structures
-
R. H. Dauskardt, M. Lane, Q. Ma, and N. Krishna, "Adhesion and debonding of multi-layer thin film structures," Eng. Fracture Mech., vol. 61, pp. 141-162, 1998.
-
(1998)
Eng. Fracture Mech.
, vol.61
, pp. 141-162
-
-
Dauskardt, R.H.1
Lane, M.2
Ma, Q.3
Krishna, N.4
-
95
-
-
0002532941
-
A method for assessing the mechanical reliability of low-K polymeric dielectric materials
-
E. O. Shaffer H. P. H. Townsen, and J.-H. Im, "A method for assessing the mechanical reliability of low-K polymeric dielectric materials," in Conf. Proc. ULSI, vol. XII, 1997, pp. 429-435.
-
(1997)
Conf. Proc. ULSI
, vol.12
, pp. 429-435
-
-
Shaffer, E.O.1
Townsen, H.P.H.2
Im, J.-H.3
-
96
-
-
4043175701
-
Mechanical characterization of low-k dielectric materials
-
T. M. Moore, C. D. Hartfield, J. M. Anthony, B. T. Ahlbum, P. S. Ho, and M. R. Miller, "Mechanical characterization of low-k dielectric materials," in AIP Conf. Proc., vol. 550, 2001, pp. 431-439.
-
(2001)
AIP Conf. Proc.
, vol.550
, pp. 431-439
-
-
Moore, T.M.1
Hartfield, C.D.2
Anthony, J.M.3
Ahlbum, B.T.4
Ho, P.S.5
Miller, M.R.6
-
97
-
-
0742310586
-
Interfacial adhesion for copper-low k interconnects
-
T. Scherban, B. Sun, J. Blaine, C. Block, B. Jin, and E. Andideh, "Interfacial adhesion for copper-low k interconnects," in Proc. Int. Interconnect Technology Conf. (IITC), 2001, pp. 257-259.
-
(2001)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 257-259
-
-
Scherban, T.1
Sun, B.2
Blaine, J.3
Block, C.4
Jin, B.5
Andideh, E.6
-
98
-
-
3142712486
-
A sample preparation method for four point bend adhesion studies
-
Z. Cui et al.,"A sample preparation method for four point bend adhesion studies," Proc. MRS, vol. 19, no. 5, pp. 1324-1327, 2004.
-
(2004)
Proc. MRS, Vol.
, vol.19
, Issue.5
, pp. 1324-1327
-
-
Cui, Z.1
-
99
-
-
0017561766
-
A finite element calculation of stress intensity factors by a modified crack closure integral
-
E. F. Rybicki and M. F. Kanninen, "A finite element calculation of stress intensity factors by a modified crack closure integral," Eng. Fracture Mech., vol. 9, pp. 931-938, 1997.
-
(1997)
Eng. Fracture Mech.
, vol.9
, pp. 931-938
-
-
Rybicki, E.F.1
Kanninen, M.F.2
-
100
-
-
71149121504
-
Mixed mode cracking in layered materials
-
J. W. Hutchinson and T. Y. Wu, Eds. New York: Academic
-
J. W. Hutchinson and Z. Suo, "Mixed mode cracking in layered materials," in Advances in Applied Mechanics, J. W. Hutchinson and T. Y. Wu, Eds. New York: Academic, 1992, vol. 29, pp. 63-191.
-
(1992)
Advances in Applied Mechanics
, vol.29
, pp. 63-191
-
-
Hutchinson, J.W.1
Suo, Z.2
-
101
-
-
84961711396
-
Integration challenges of 0.1 μ m CMOS Cu/low-k interconnects
-
K. C. Yu et al., "Integration challenges of 0.1 μ m CMOS Cu/ low-k interconnects," in Proc. Int. Interconnect Technology Conf. (IITC), 2002, pp. 9-11.
-
(2002)
Proc. Int. Interconnect Technology Conf. (IITC)
, pp. 9-11
-
-
Yu, K.C.1
|