-
2
-
-
36149010714
-
The transistor, a semi-conductor triode
-
doi:10.1103/PhysRev. 74.230
-
Bardeen, J. & Brattain, W. 1948 The transistor, a semi-conductor triode. Phys. Rev. 74, 230-231. (doi:10.1103/PhysRev. 74.230)
-
(1948)
Phys. Rev.
, vol.74
, pp. 230-231
-
-
Bardeen, J.1
Brattain, W.2
-
6
-
-
0000793139
-
Cramming more components onto integrated circuits
-
Moore, G. E. 1965 Cramming more components onto integrated circuits. Electronics 38, 114-117.
-
(1965)
Electronics
, vol.38
, pp. 114-117
-
-
Moore, G.E.1
-
7
-
-
19944370832
-
Application of high-k gate dielectrics and metal gate electrodes to enable silicon and non-silicon logic nanotechnology
-
doi:10.1016/j.mee.2005.04.035
-
Chau, R. et al. 2005 Application of high-k gate dielectrics and metal gate electrodes to enable silicon and non-silicon logic nanotechnology. Microelectron. Eng. 80, 1-6. (doi:10.1016/j.mee.2005.04.035)
-
(2005)
Microelectron. Eng.
, vol.80
, pp. 1-6
-
-
Chau, R.1
-
10
-
-
0004245602
-
-
Semiconductor Industry Association, Austin, TX: International SEMATECH
-
The Semiconductor Industry Association. 1999 International technology roadmap for semiconductors. Austin, TX: International SEMATECH.
-
(1999)
International Technology Roadmap for Semiconductors.
-
-
-
11
-
-
7444265783
-
Photoengraving in transistor fabrication
-
F. J. Biondi et al., Princeton, NJ: D. Van Nostrand
-
Andrus, J. & Bond, W. L. 1958 Photoengraving in transistor fabrication. In Transistor technology, vol. III (ed. F. J. Biondi et al.), pp. 151-162. Princeton, NJ: D. Van Nostrand.
-
(1958)
Transistor Technology
, vol.3
, pp. 151-162
-
-
Andrus, J.1
Bond, W.L.2
-
12
-
-
62249144387
-
-
New York, NJ: J. Wiley & Sons
-
Stevens, G. 1968 Microphotography. New York, NJ: J. Wiley & Sons.
-
(1968)
Microphotography
-
-
Stevens, G.1
-
13
-
-
0031334513
-
Optical lithography-thirty years and three orders of magnitude: The evolution of optical lithography tools
-
doi:10.1117/12.275983
-
Bruning, J. H. 1997 Optical lithography-thirty years and three orders of magnitude: the evolution of optical lithography tools. SPIE 3051, 14-27. (doi:10.1117/12.275983)
-
(1997)
SPIE
, vol.3051
, pp. 14-27
-
-
Bruning, J.H.1
-
14
-
-
33745799031
-
The lithographic lens: Its history and evolution
-
Matsuyama, T., Ohmura, Y. & Williamson, D. M. 2006 The lithographic lens: its history and evolution. Proc. SPIE. 6154, 615403-1-14.
-
(2006)
Proc. SPIE.
, vol.6154
, pp. 6154031-61540314
-
-
Matsuyama, T.1
Ohmura, Y.2
Williamson, D.M.3
-
15
-
-
35148894103
-
Optical lithography⋯ 40 years and holding
-
Bruning, J. H. 2007 Optical lithography⋯ 40 years and holding. Proc. SPIE 6520, 652004-1-13.
-
(2007)
Proc. SPIE
, vol.6520
, pp. 6520041-65200413
-
-
Bruning, J.H.1
-
16
-
-
0031353276
-
Photoresist materials: A historical perspective
-
doi:10.1117/12.275984
-
Willson, C. G., Dammel, R. A. & Reiser, A. 1997 Photoresist materials: a historical perspective. SPIE 3051, 28-41. (doi:10.1117/12.275984)
-
(1997)
SPIE
, vol.3051
, pp. 28-41
-
-
Willson, C.G.1
Dammel, R.A.2
Reiser, A.3
-
17
-
-
84864062323
-
-
British Patent no. 762, 985
-
Hepher, M. & Wagner, H. M. 1954 British Patent no. 762, 985.
-
(1954)
-
-
Hepher, M.1
Wagner, H.M.2
-
18
-
-
61849101004
-
Structure and behavior of cyclized rubber photoresist
-
Agnihotri, R. K., Falcon, D. L., Hood, F. P., Lesoine, L. G., Needham, C. D. & Offenbach, J. A. 1972 Structure and behavior of cyclized rubber photoresist. Photogr. Sci. Eng. 16, 443-448.
-
(1972)
Photogr. Sci. Eng.
, vol.16
, pp. 443-448
-
-
Agnihotri, R.K.1
Falcon, D.L.2
Hood, F.P.3
Lesoine, L.G.4
Needham, C.D.5
Offenbach, J.A.6
-
19
-
-
85020743127
-
Computer-aided design and characterization of MOS integrated circuits
-
Digest of Technical Papers, Philadelphia, PA, 14-16 February 1968, New York, NY: The Institute of Electrical and Electronic Engineers
-
Frohman-Bentchkowsky, D. & Vadasz, L. 1968 Computer-aided design and characterization of MOS integrated circuits. In Proc. IEEE Int. Solid-State Circuits Conf., Digest of Technical Papers, Philadelphia, PA, 14-16 February 1968, vol. XI, pp. 68-69. New York, NY: The Institute of Electrical and Electronic Engineers.
-
(1968)
Proc. IEEE Int. Solid-State Circuits Conf.
, vol.11
, pp. 68-69
-
-
Frohman-Bentchkowsky, D.1
Vadasz, L.2
-
20
-
-
84918991851
-
Using a graphic data processing system to design artwork for manufacturing hybrid integrated circuits
-
San Francisco, CA, 7-11 November 1966, Washington, DC: Spartan Books
-
Koford, J. S., Sporzynski, G. A. & Strickland, P. R. 1966 Using a graphic data processing system to design artwork for manufacturing hybrid integrated circuits. In Proc. of the Fall Joint Computer Conf., San Francisco, CA, 7-11 November 1966, pp. 229-246. Washington, DC: Spartan Books.
-
(1966)
Proc. of the Fall Joint Computer Conf.
, pp. 229-246
-
-
Koford, J.S.1
Sporzynski, G.A.2
Strickland, P.R.3
-
21
-
-
0020249292
-
Improving resolution in photolithography with phase-shifting mask
-
doi:10.1109/T-ED.1982.21037
-
Levinson, M. D., Viswanathan, N. S. & Simpson R. A. 1982 Improving resolution in photolithography with phase-shifting mask. IEEE Trans. Electron Devices 29, 1828-1836. (doi:10.1109/T-ED.1982.21037)
-
(1982)
IEEE Trans. Electron Devices
, vol.29
, pp. 1828-1836
-
-
Levinson, M.D.1
Viswanathan, N.S.2
Simpson, R.A.3
-
23
-
-
0003941908
-
-
2nd Edn., Bellingham, WA: SPIE-The International Society for Optical Engineering
-
Levinson, H. 2004 Principles of lithography, 2nd edn. Bellingham, WA: SPIE-The International Society for Optical Engineering.
-
(2004)
Principles of Lithography
-
-
Levinson, H.1
-
25
-
-
12044253529
-
Chemical amplification in high resolution imaging systems
-
doi:10.1021/ar00042a001
-
MacDonald, S. A., Willson, C. G. & Frechet, J. M. J. 1994 Chemical amplification in high resolution imaging systems. Acc. Chem. Res. 27, 151-158. (doi:10.1021/ar00042a001)
-
(1994)
Acc. Chem. Res.
, vol.27
, pp. 151-158
-
-
MacDonald, S.A.1
Willson, C.G.2
Frechet, J.M.J.3
-
26
-
-
47149096939
-
RF sputter-etching by fluoro-chlorohydrocarbon gases
-
Hosokawa, N., Matsuzake, R. & Asamaki, T. 1974 RF sputter-etching by fluoro-chlorohydrocarbon gases. Jpn J. Appl. Phys. Suppl. 1, 435-438.
-
(1974)
Jpn J. Appl. Phys. Suppl.
, vol.1
, pp. 435-438
-
-
Hosokawa, N.1
Matsuzake, R.2
Asamaki, T.3
-
27
-
-
0001128106
-
Control of relative etch rate of SiO2 and Si in plasma etching
-
doi:10.1016/0038-1101 75 90184-7
-
Heinke, R. A. H. 1975 Control of relative etch rate of SiO2 and Si in plasma etching. Solid State Electron. 18, 1146-1147. (doi:10.1016/0038-1101 (75) 90184-7)
-
(1975)
Solid State Electron.
, vol.18
, pp. 1146-1147
-
-
Heinke, R.A.H.1
-
28
-
-
84864068921
-
-
Northern Telecom Limited, US Patent no. 4, 030, 967
-
Northern Telecom Limited. 1977 Gaseous plasma etching of Al and Al2O3. US Patent no. 4, 030, 967.
-
(1977)
Gaseous Plasma Etching of Al and Al2O3
-
-
-
29
-
-
49749085683
-
Developments of plasma etching technology for fabricating semiconductor devices
-
doi:10.1143/JJAP.47.1435
-
Abe, H., Yoneda, M. & Fujiwara, N. 2008 Developments of plasma etching technology for fabricating semiconductor devices. Jpn J. Appl. Phys. 47, 1435-1455. (doi:10.1143/JJAP.47.1435)
-
(2008)
Jpn J. Appl. Phys.
, vol.47
, pp. 1435-1455
-
-
Abe, H.1
Yoneda, M.2
Fujiwara, N.3
-
30
-
-
0345583923
-
Diffusion of donor and acceptor elements into germanium
-
doi:10.1103/PhysRev. 86.136
-
Fuller, C. S. 1952 Diffusion of donor and acceptor elements into germanium. Phys. Rev. 86, 23-34. (doi:10.1103/PhysRev. 86.136)
-
(1952)
Phys. Rev.
, vol.86
, pp. 23-34
-
-
Fuller, C.S.1
-
31
-
-
33646941073
-
Diffused emitter and base silicon transistors
-
Tanenbaum, M. & Thomas, D. E. 1962 Diffused emitter and base silicon transistors. Bell Syst. Tech. J. 35, 1-22.
-
(1962)
Bell Syst. Tech. J.
, vol.35
, pp. 1-22
-
-
Tanenbaum, M.1
Thomas, D.E.2
-
32
-
-
84943165041
-
History of semiconductors diffusion engineering
-
Vancouver, Canada, 25-27 September 2002, New York, NY: The Institute of Electrical and Electronic Engineers
-
Lojek, B. 2002 History of semiconductors diffusion engineering. In Proc. 10th IEEE Int. Conf. of Advanced Thermal Processing of Semiconductors, Vancouver, Canada, 25-27 September 2002, pp. 209-241. New York, NY: The Institute of Electrical and Electronic Engineers.
-
(2002)
Proc. 10th IEEE Int. Conf. of Advanced Thermal Processing of Semiconductors
, pp. 209-241
-
-
Lojek, B.1
-
35
-
-
0007076305
-
Historical perspectives and future trends for ion implantation systems
-
Wegmann, L. 1980 Historical perspectives and future trends for ion implantation systems. Nucl. Instrum. Meth. 189, 1-6.
-
(1980)
Nucl. Instrum. Meth.
, vol.189
, pp. 1-6
-
-
Wegmann, L.1
-
36
-
-
0021152398
-
A history of commercial implantation
-
Rose, P. H. 1985 A history of commercial implantation. Nucl. Instrum. Method B6, 1-8.
-
(1985)
Nucl. Instrum. Method
, vol.B6
, pp. 1-8
-
-
Rose, P.H.1
-
37
-
-
0022682354
-
Historical perspectives on ion implantation
-
Gibbons, J. F. 1987 Historical perspectives on ion implantation. Nucl. Instrum. Method B21, 83-89.
-
(1987)
Nucl. Instrum. Method
, vol.B21
, pp. 83-89
-
-
Gibbons, J.F.1
-
39
-
-
0004245602
-
-
Semiconductor Industry Association, Austin, TX: International SEMATECH
-
The Semiconductor Industry Association. 2009 International technology roadmap for semiconductors. Austin, TX: International SEMATECH.
-
(2009)
International Technology Roadmap for Semiconductors.
-
-
-
40
-
-
3843087239
-
Shot noise, LER, and quantum efficiency of EUV photoresists
-
doi:10.1117/12.536411
-
Brainard, R. L., Trefonas, P., Lammers, J. H., Cutler, C. A., Mackevich, J. J., Trefonas, A. & Robertson, S. A. 2004 Shot noise, LER, and quantum efficiency of EUV photoresists. Proc. SPIE 5374, 74-85. (doi:10.1117/12.536411)
-
(2004)
Proc. SPIE
, vol.5374
, pp. 74-85
-
-
Brainard, R.L.1
Trefonas, P.2
Lammers, J.H.3
Cutler, C.A.4
Mackevich, J.J.5
Trefonas, A.6
Robertson, S.A.7
-
41
-
-
84864033315
-
Characteristics of pulsed capacitively coupled plasma sources for plasma etching
-
Dallas, TX, 13-17 October 2008. Ridge, NY: American Physical Society
-
Agarwal, A., Stout, P., Rauf, S. & Collins, K. 2008 Characteristics of pulsed capacitively coupled plasma sources for plasma etching. In Proc. 61st Annu. Gaseous Electronics Conf., Dallas, TX, 13-17 October 2008. Ridge, NY: American Physical Society.
-
(2008)
Proc. 61st Annu. Gaseous Electronics Conf.
-
-
Agarwal, A.1
Stout, P.2
Rauf, S.3
Collins, K.4
-
42
-
-
85020711231
-
Discharge mechanisms and spatial evolution of the EEDF in a microwave surface-wave plasma
-
Saratoga Springs, NY, 20-23 October 2009. Ridge, NY: American Physical Society
-
Zhao, J., Bravenec, R., Funk, M., Chen, L. & Nozawa, T. 2009 Discharge mechanisms and spatial evolution of the EEDF in a microwave surface-wave plasma. In Proc. 62nd Annu. Gaseous Electronics Conf., Saratoga Springs, NY, 20-23 October 2009. Ridge, NY: American Physical Society.
-
(2009)
Proc. 62nd Annu. Gaseous Electronics Conf.
-
-
Zhao, J.1
Bravenec, R.2
Funk, M.3
Chen, L.4
Nozawa, T.5
-
43
-
-
84864068923
-
Plasma atomic layer etching
-
Traverse City, MI, 4-8 June 2006, New York, NY: Institute for Electrical and Electronic Engineers
-
Agarwal, A. & Kushner, M. 2006 Plasma atomic layer etching. In Proc. 33rd IEEE Int. Conf. on Plasma Science, Traverse City, MI, 4-8 June 2006, pp. 469. New York, NY: Institute for Electrical and Electronic Engineers.
-
(2006)
Proc. 33rd IEEE Int. Conf. on Plasma Science
, pp. 469
-
-
Agarwal, A.1
Kushner, M.2
-
44
-
-
0035475617
-
Sub-60nm quasi-planar FinFETs fabricated using a simplified process
-
doi:10.1109/55.954920
-
Lindert, N., Chang, L., Choi, Y.-K., Anderson, E. H., Lee, W.-C., King, T.-J., Bokor, J. & Hu, C.-M., 2001 Sub-60nm quasi-planar FinFETs fabricated using a simplified process. IEEE Electron Device Lett. 22, 487-489. (doi:10.1109/55.954920)
-
(2001)
IEEE Electron Device Lett.
, vol.22
, pp. 487-489
-
-
Lindert, N.1
Chang, L.2
Choi, Y.-K.3
Anderson, E.H.4
Lee, W.-C.5
King, T.-J.6
Bokor, J.7
Hu, C.-M.8
-
45
-
-
65849278217
-
Non-CA resists for 193 nm immersion lithography: Effects of chemical structure on sensitivity
-
doi:10.1117/12.814076
-
Blakey, I., Chen, L., Goh, Y., Lawrie, K., Chuang, Y., Piscani, E., Zimmerman, P. A. & Whittaker, A. K. 2009 Non-CA resists for 193 nm immersion lithography: effects of chemical structure on sensitivity. SPIE 7273, 72733X. (doi:10.1117/12.814076)
-
(2009)
SPIE
, vol.7273
-
-
Blakey, I.1
Chen, L.2
Goh, Y.3
Lawrie, K.4
Chuang, Y.5
Piscani, E.6
Zimmerman, P.A.7
Whittaker, A.K.8
-
46
-
-
0141499859
-
Negative tone 193nm photoresists
-
doi:10.1117/12.485075
-
Pugliano, D. N., Bolton, P., Barbieri, T., King, M., Reilly, M., Lawrence, W., Kang, D. & Barclay, G. 2003 Negative tone 193nm photoresists. SPIE 5039, 698-704. (doi:10.1117/12.485075)
-
(2003)
SPIE
, vol.5039
, pp. 698-704
-
-
Pugliano, D.N.1
Bolton, P.2
Barbieri, T.3
King, M.4
Reilly, M.5
Lawrence, W.6
Kang, D.7
Barclay, G.8
-
47
-
-
3843051218
-
IBM-JSR 193 nm negative tone resist: Polymer design, material properties, and lithographic performance
-
doi:10.1117/12.536874
-
Patel, K. et al. 2004 IBM-JSR 193 nm negative tone resist: polymer design, material properties, and lithographic performance. SPIE 5376, 94-102. (doi:10.1117/12.536874)
-
(2004)
SPIE
, vol.5376
, pp. 94-102
-
-
Patel, K.1
-
48
-
-
65849114975
-
Topcoat-free ArF negative tone resist
-
doi:10.1117/12.813787
-
Ando, T. et al. 2009 Topcoat-free ArF negative tone resist. SPIE 7273, 727308. (doi:10.1117/12.813787)
-
(2009)
SPIE
, vol.7273
, pp. 727308
-
-
Ando, T.1
-
49
-
-
65849353541
-
Development of materials and processes for negative tone development toward 32-nm node 193-nm immersion double-patterning process
-
doi:10.1117/12.814093
-
Tarutani, S., Hideaki, T. & Kamimura, S. 2009 Development of materials and processes for negative tone development toward 32-nm node 193-nm immersion double-patterning process. SPIE 7273, 72730C. (doi:10.1117/12.814093)
-
(2009)
SPIE
, vol.7273
-
-
Tarutani, S.1
Hideaki, T.2
Kamimura, S.3
-
50
-
-
45449092368
-
An analysis of double exposure lithography options
-
doi:10.1117/12.773030
-
Lee, S., Byers, J., Jen, K., Zimmerman, P., Rice, B. J., Turro, N. J. & Willson, C. G. 2008 An analysis of double exposure lithography options. Proc. SPIE 6924, 69242A. (doi:10.1117/12.773030)
-
(2008)
Proc. SPIE
, vol.6924
-
-
Lee, S.1
Byers, J.2
Jen, K.3
Zimmerman, P.4
Rice, B.J.5
Turro, N.J.6
Willson, C.G.7
-
51
-
-
65849416098
-
Double-exposure materials for pitch division with 193nm lithography: Requirements, results
-
doi:10.1117/12.814352
-
Bristol, R. et al. 2009 Double-exposure materials for pitch division with 193nm lithography: requirements, results. Proc. SPIE. 7273, 727307. (doi:10.1117/12.814352)
-
(2009)
Proc. SPIE.
, vol.7273
, pp. 727307
-
-
Bristol, R.1
-
52
-
-
65849233850
-
EUV lithography for 30nm half pitch and beyond: Exploring resolution, sensitivity and LWR tradeoffs
-
doi:10.1117/12.814191
-
Putna, E. S., Younkin, T. R., Chandhok, M. & Frasure, K. 2009 EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity and LWR tradeoffs. SPIE 7273, 72731L. (doi:10.1117/12.814191)
-
(2009)
SPIE
, vol.7273
-
-
Putna, E.S.1
Younkin, T.R.2
Chandhok, M.3
Frasure, K.4
-
53
-
-
67149106172
-
Assessment of EUV resist readiness for 32nm hp manufacturing, and extendibility study of EUV ADT using state-of-the-art resist
-
doi:10.1117/12.814314
-
Koh, C. et al. 2009 Assessment of EUV resist readiness for 32nm hp manufacturing, and extendibility study of EUV ADT using state-of-the-art resist. SPIE 7271, 727124. (doi:10.1117/12.814314)
-
(2009)
SPIE
, vol.7271
, pp. 727124
-
-
Koh, C.1
-
54
-
-
59049107668
-
Recent status and future direction of EUV resist technology
-
doi:10.1016/j.mee.2008.11.023
-
Itani, T. 2009 Recent status and future direction of EUV resist technology. Microelectron. Eng. 86, 207-212. (doi:10.1016/j.mee.2008.11.023)
-
(2009)
Microelectron. Eng.
, vol.86
, pp. 207-212
-
-
Itani, T.1
-
55
-
-
65849267969
-
Understanding pattern collapse in high-resolution lithography: Impact of feature width on critical stress
-
doi:10.1117/12.829142
-
Noga, D. E., Lawson, R. A., Lee, C. T., Tolbert, L. M. & Henderson, C. L. 2009 Understanding pattern collapse in high-resolution lithography: impact of feature width on critical stress. SPIE 7273, 727334. (doi:10.1117/12.829142)
-
(2009)
SPIE
, vol.7273
, pp. 727334
-
-
Noga, D.E.1
Lawson, R.A.2
Lee, C.T.3
Tolbert, L.M.4
Henderson, C.L.5
-
56
-
-
0034317289
-
Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms
-
doi:10.1116/1.1321280
-
Cao, H. B., Nealey, P. F. & Domke, W. D. 2000 Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms. J. Vac. Sci. Technol. B 18, 3303-3307. (doi:10.1116/1.1321280)
-
(2000)
J. Vac. Sci. Technol. B
, vol.18
, pp. 3303-3307
-
-
Cao, H.B.1
Nealey, P.F.2
Domke, W.D.3
-
57
-
-
57249104988
-
Improvement in linewidth roughness by postprocessing
-
doi:10.1116/1.3013860
-
Chandhok, M., Frasure, K., Putna, E. S., Younkin, T. R., Rachmady, W., Shah, U. & Yueh, W. 2008 Improvement in linewidth roughness by postprocessing. J. Vac. Sci. Technol. B 26, 2265-2270. (doi:10.1116/1.3013860)
-
(2008)
J. Vac. Sci. Technol. B
, vol.26
, pp. 2265-2270
-
-
Chandhok, M.1
Frasure, K.2
Putna, E.S.3
Younkin, T.R.4
Rachmady, W.5
Shah, U.6
Yueh, W.7
-
58
-
-
57549115796
-
Resist development to improve flare issue for EUV lithography
-
doi:10.1117/12.771120
-
Irie, M., Suzuki, T., Mimura, T. & Iwai, T. 2008 Resist development to improve flare issue for EUV lithography. SPIE 6923, 692310. (doi:10.1117/12.771120)
-
(2008)
SPIE
, vol.6923
, pp. 692310
-
-
Irie, M.1
Suzuki, T.2
Mimura, T.3
Iwai, T.4
-
59
-
-
65849241590
-
Low activation energy fullerene molecular resist
-
doi:10.1117/12.814088
-
Manyam, J., Manickam, M., Preece, J. A., Palmer, R. E. & Robinson, A. P. G. 2009 Low activation energy fullerene molecular resist. SPIE 7273, 72733D. (doi:10.1117/12.814088)
-
(2009)
SPIE
, vol.7273
-
-
Manyam, J.1
Manickam, M.2
Preece, J.A.3
Palmer, R.E.4
Robinson, A.P.G.5
-
60
-
-
65849165230
-
Negative-tone molecular resists based on cationic polymerization
-
doi:10.1117/12.814455
-
Lawson, R. A., Tolbert, L. M., Younkin, T. R. & Henderson, C. L. 2009 Negative-tone molecular resists based on cationic polymerization. SPIE 7273, 72733E. (doi:10.1117/12.814455)
-
(2009)
SPIE
, vol.7273
-
-
Lawson, R.A.1
Tolbert, L.M.2
Younkin, T.R.3
Henderson, C.L.4
-
61
-
-
0000232062
-
Accurate critical dimension control by using an azide/novolak resist process for electron-beam lithography
-
doi:10.1116/1.589746
-
Yamamoto, J., Uchino, S., Ohta, H., Yoshimura, T. & Murai, F. 1997 Accurate critical dimension control by using an azide/novolak resist process for electron-beam lithography. J. Vac. Sci. Technol. B 15, 2868-2871. (doi:10.1116/1.589746)
-
(1997)
J. Vac. Sci. Technol. B
, vol.15
, pp. 2868-2871
-
-
Yamamoto, J.1
Uchino, S.2
Ohta, H.3
Yoshimura, T.4
Murai, F.5
-
62
-
-
29044434600
-
Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performance
-
doi:10.1116/1.2110318
-
Yamaguchi, A., Fukuda, H., Arai, T., Yamamoto, J., Hirayama, T., Shiono, D., Hada, H. & Onodera, J. 2005 Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performance. J. Vac. Sci. Technol. B 23, 2711-2715. (doi:10.1116/1.2110318)
-
(2005)
J. Vac. Sci. Technol. B
, vol.23
, pp. 2711-2715
-
-
Yamaguchi, A.1
Fukuda, H.2
Arai, T.3
Yamamoto, J.4
Hirayama, T.5
Shiono, D.6
Hada, H.7
Onodera, J.8
-
63
-
-
0001512129
-
Ultrahigh resolution of calixarene negative resist in electron beam lithography
-
doi:10.1063/1.115958
-
Fujita, J., Ohnishi, Y., Ochiai, Y. & Matsui, S. 1996 Ultrahigh resolution of calixarene negative resist in electron beam lithography. Appl. Phys. Lett. 68, 1297-1299. (doi:10.1063/1.115958)
-
(1996)
Appl. Phys. Lett.
, vol.68
, pp. 1297-1299
-
-
Fujita, J.1
Ohnishi, Y.2
Ochiai, Y.3
Matsui, S.4
-
64
-
-
0033261109
-
Application of 4-methyl-1-acetoxycalix.6.arene resist to complementary metal-oxide-semiconductor gate processing
-
doi:10.1116/1.591017
-
Rooks, M. J. & Aviram, A. 1999 Application of 4-methyl-1- acetoxycalix.6.arene resist to complementary metal-oxide-semiconductor gate processing. J. Vac. Sci. Technol. B 17, 3394-3397. (doi:10.1116/1.591017)
-
(1999)
J. Vac. Sci. Technol. B
, vol.17
, pp. 3394-3397
-
-
Rooks, M.J.1
Aviram, A.2
-
65
-
-
65849488700
-
Non-chemically amplified negative resist for EUV lithography
-
doi:10.1117/12.813377
-
Shirai, M., Maki, K., Okamura, H., Kaneyama, K. & Itani, T. 2009 Non-chemically amplified negative resist for EUV lithography. SPIE 7273, 72731N. (doi:10.1117/12.813377)
-
(2009)
SPIE
, vol.7273
-
-
Shirai, M.1
Maki, K.2
Okamura, H.3
Kaneyama, K.4
Itani, T.5
-
66
-
-
34247584095
-
10nm lines and spaces written in HSQ, using electron beam lithography
-
doi:10.1016/j.mee.2007.01.022
-
Grigorescu, A. E., van der Krogt, M. C., Hagen, C. W. & Kruit, P. 2007 10nm lines and spaces written in HSQ, using electron beam lithography. Microelectron. Eng. 84, 822-824. (doi:10.1016/j.mee.2007.01.022)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 822-824
-
-
Grigorescu, A.E.1
Van Der Krogt, M.C.2
Hagen, C.W.3
Kruit, P.4
-
67
-
-
34247603100
-
20nm line/space patterns in HSQ fabricated by EUV interference lithography
-
doi:10.1016/j.mee.2007.01.213
-
Ekinci, Y., Solak, H. H., Padeste, C., Gobrecht, J., Stoykovich, M. P. & Nealey, P. F. 2007 20nm line/space patterns in HSQ fabricated by EUV interference lithography. Microelectron. Eng. 84, 700-704. (doi:10.1016/j.mee. 2007.01.213)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 700-704
-
-
Ekinci, Y.1
Solak, H.H.2
Padeste, C.3
Gobrecht, J.4
Stoykovich, M.P.5
Nealey, P.F.6
-
68
-
-
67349170310
-
Resist thickness effects on ultra thin HSQ patterning capabilities
-
doi:10.1016/j.mee.2008.12.071
-
Sidorkin, V., Grigorescu, A., Salemink, H. & van der Drift, E. 2009 Resist thickness effects on ultra thin HSQ patterning capabilities. Microelectron. Eng. 86, 749-751. (doi:10.1016/j.mee.2008.12.071)
-
(2009)
Microelectron. Eng.
, vol.86
, pp. 749-751
-
-
Sidorkin, V.1
Grigorescu, A.2
Salemink, H.3
Van Der Drift, E.4
-
69
-
-
0002053947
-
Three-dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations
-
doi:10.1116/1.589837
-
Namatsu, H., Takahashi, Y., Yamazaki, K., Yamaguchi, T., Nagase, M. & Kurihara, K. 1998 Three-dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations. J. Vac. Sci. Technol. B 16, 69-77. (doi:10.1116/1.589837)
-
(1998)
J. Vac. Sci. Technol. B
, vol.16
, pp. 69-77
-
-
Namatsu, H.1
Takahashi, Y.2
Yamazaki, K.3
Yamaguchi, T.4
Nagase, M.5
Kurihara, K.6
-
70
-
-
67349180205
-
High resolution, high sensitivity inorganic resists
-
doi:10.1016/j.mee.2008.11.034
-
Stowers, J. & Keszler, D. A. 2009 High resolution, high sensitivity inorganic resists. Microelectron. Eng. 86, 730-733. (doi:10.1016/j.mee.2008.11. 034)
-
(2009)
Microelectron. Eng.
, vol.86
, pp. 730-733
-
-
Stowers, J.1
Keszler, D.A.2
-
71
-
-
0036723017
-
Nanocomposite resist systems for next generation lithography
-
doi:10.1016/S0167-9317 02 00554-3
-
Merhari, L., Gonsalves, K. E., Hu, Y., He, W., Huang, W.-S., Angelopoulos, M., Bruenger, W. H., Dzionk, C. & Torkler, M. 2002 Nanocomposite resist systems for next generation lithography. Microelectron. Eng. 63, 391-403. (doi:10.1016/S0167-9317 (02) 00554-3)
-
(2002)
Microelectron. Eng.
, vol.63
, pp. 391-403
-
-
Merhari, L.1
Gonsalves, K.E.2
Hu, Y.3
He, W.4
Huang, W.-S.5
Angelopoulos, M.6
Bruenger, W.H.7
Dzionk, C.8
Torkler, M.9
-
72
-
-
33646033152
-
Characterization of extreme ultraviolet resists with interference lithography
-
doi:10.1016/j.mee.2006.01.149
-
Gronheid, R., Solak, H. H., Ekinci, Y., Jouve, A. & Roey, F. V. 2006 Characterization of extreme ultraviolet resists with interference lithography. Microelectron. Eng. 83, 1103-1106. (doi:10.1016/j.mee.2006.01.149)
-
(2006)
Microelectron. Eng.
, vol.83
, pp. 1103-1106
-
-
Gronheid, R.1
Solak, H.H.2
Ekinci, Y.3
Jouve, A.4
Roey, F.V.5
-
73
-
-
65849270218
-
Development of polymers for non-CAR resists for EUV lithography
-
doi:10.1117/12.820493
-
Whittaker, A. K. et al. 2009 Development of polymers for non-CAR resists for EUV lithography. SPIE 7273, 727321. (doi:10.1117/12.820493)
-
(2009)
SPIE
, vol.7273
, pp. 727321
-
-
Whittaker, A.K.1
-
74
-
-
65849278217
-
Non-CA resists for 193nm immersion lithography: Effects of chemical structure on sensitivity
-
doi:10.1117/12.814076
-
Blakey, I., Chen, L., Goh, Y., Lawrie, K., Chuang, Y., Piscani, E., Zimmerman, P. A. & Whittaker, A. K. 2009 Non-CA resists for 193nm immersion lithography: effects of chemical structure on sensitivity. SPIE 7273, 72733X. (doi:10.1117/12.814076)
-
(2009)
SPIE
, vol.7273
-
-
Blakey, I.1
Chen, L.2
Goh, Y.3
Lawrie, K.4
Chuang, Y.5
Piscani, E.6
Zimmerman, P.A.7
Whittaker, A.K.8
-
75
-
-
18044384992
-
New approaches to nanofabrication: Molding, printing, and other techniques
-
doi:10.1021/cr030076o
-
Gates, B. D., Xu, Q., Stewart, M. D., Ryan, D., Willson, C. G., & Whitesides, G. M. 2005 New approaches to nanofabrication: molding, printing, and other techniques. Chem. Rev. 105, 1171-1196. (doi:10.1021/cr030076o)
-
(2005)
Chem. Rev.
, vol.105
, pp. 1171-1196
-
-
Gates, B.D.1
Xu, Q.2
Stewart, M.D.3
Ryan, D.4
Willson, C.G.5
Whitesides, G.M.6
-
76
-
-
0032625408
-
Step and flash imprint lithography: A new approach to high-resolution patterning, Proc
-
doi:10.1117/12.351155
-
Colburn, M. et al. 1999 Step and flash imprint lithography: a new approach to high-resolution patterning, Proc. SPIE 3676, 379-389. (doi:10.1117/12.351155)
-
(1999)
SPIE
, vol.3676
, pp. 379-389
-
-
Colburn, M.1
-
77
-
-
34247575250
-
Template fabrication for the 32nm node and beyond
-
doi:10.1016/j.mee.2007.01.038
-
Schmid, G. M., Thompson, E., Stacey, N., Resnick, D. J., Olynick, D. L., & Anderson, E. H. 2007 Template fabrication for the 32nm node and beyond. Microelectron. Eng. 84, 853-859. (doi:10.1016/j.mee.2007.01.038)
-
(2007)
Microelectron. Eng.
, vol.84
, pp. 853-859
-
-
Schmid, G.M.1
Thompson, E.2
Stacey, N.3
Resnick, D.J.4
Olynick, D.L.5
Anderson, E.H.6
-
78
-
-
24644465507
-
Direct imprinting of dielectric materials for dual damascene processing
-
doi:10.1117/12.599977
-
Stewart, M. D. et al. 2005 Direct imprinting of dielectric materials for dual damascene processing. Proc. SPIE 5751, 210-218. (doi:10.1117/12.599977)
-
(2005)
Proc. SPIE
, vol.5751
, pp. 210-218
-
-
Stewart, M.D.1
-
79
-
-
33745632075
-
Multi-level step and flash imprint lithography for direct patterning of dielectrics
-
Palmieri, F. et al. 2006 Multi-level step and flash imprint lithography for direct patterning of dielectrics. Proc. SPIE 6151, 61510J/1-61510J/9.
-
(2006)
Proc. SPIE
, vol.6151
-
-
Palmieri, F.1
-
80
-
-
33745614507
-
Diblock copolymer directed self-assembly for CMOS device fabrication
-
Bellingham, WA: International Society for Photonics and Optics
-
Chang, L. W. & Wong, H. S. P. 2006 Diblock copolymer directed self-assembly for CMOS device fabrication. In SPIE Design and Process Integration for Microelectronic Manufacturing IV, vol. 6156, pp. 615611. Bellingham, WA: International Society for Photonics and Optics.
-
(2006)
SPIE Design and Process Integration for Microelectronic Manufacturing IV
, vol.6156
, pp. 615611
-
-
Chang, L.W.1
Wong, H.S.P.2
-
81
-
-
34948854470
-
Polymer self assembly in semiconductor microelectronics
-
doi:10.1147/rd.515.0605
-
Black, C. T., Ruiz, R., Breyta, G., Cheng, J. Y., Colburn, M. C., Guarini, K. W., Kim, H.-C. & Zhang, Y. 2007 Polymer self assembly in semiconductor microelectronics. IBM J. Res. Dev. 51, 605-633. (doi:10.1147/rd.515.0605)
-
(2007)
IBM J. Res. Dev.
, vol.51
, pp. 605-633
-
-
Black, C.T.1
Ruiz, R.2
Breyta, G.3
Cheng, J.Y.4
Colburn, M.C.5
Guarini, K.W.6
Kim, H.-C.7
Zhang, Y.8
-
82
-
-
49649127635
-
Graphoepitaxy of self-assembled block copolymers on two-dimensional periodic patterned templates
-
doi:10.1126/science.1159352
-
Bita, I., Yang, J. K. W., Jung, Y. S., Ross, C. A., Thomas, E. L. & Berggren, K. K. 2008 Graphoepitaxy of self-assembled block copolymers on two-dimensional periodic patterned templates. Science 321, 939-943. (doi:10.1126/science.1159352)
-
(2008)
Science
, vol.321
, pp. 939-943
-
-
Bita, I.1
Yang, J.K.W.2
Jung, Y.S.3
Ross, C.A.4
Thomas, E.L.5
Berggren, K.K.6
-
83
-
-
33747091891
-
Block copolymers and conventional lithography
-
doi:10.1016/S1369-7021 06 71619-4
-
Stoykovich, M. P. & Nealey, P. F. 2006 Block copolymers and conventional lithography. Mater. Today 9, 20-29. (doi:10.1016/S1369-7021 (06) 71619-4)
-
(2006)
Mater. Today
, vol.9
, pp. 20-29
-
-
Stoykovich, M.P.1
Nealey, P.F.2
-
84
-
-
4544286988
-
Precise control over molecular dimensions of block-copolymer domains using the interfacial energy of chemically nanopatterned substrates
-
doi:10.1002/adma.200400763
-
Edwards, E. W., Montague, M. F., Solak, H. H., Hawker, C. J. & Nealey, P. F. 2004 Precise control over molecular dimensions of block-copolymer domains using the interfacial energy of chemically nanopatterned substrates. Adv. Mater. 16, 1315-1319. (doi:10.1002/adma.200400763)
-
(2004)
Adv. Mater.
, vol.16
, pp. 1315-1319
-
-
Edwards, E.W.1
Montague, M.F.2
Solak, H.H.3
Hawker, C.J.4
Nealey, P.F.5
-
85
-
-
20244390643
-
Directed assembly of block copolymer blends into nonregular device-oriented structures
-
doi:10.1126/science.1111041
-
Stoykovich, M. P., Muller, M., Kim, S. O., Solak, H. H., Edwards, E. W., de Pablo, J. J. & Nealey, P. F. 2005 Directed assembly of block copolymer blends into nonregular device-oriented structures. Science 308, 1442-1446. (doi:10.1126/science.1111041)
-
(2005)
Science
, vol.308
, pp. 1442-1446
-
-
Stoykovich, M.P.1
Muller, M.2
Kim, S.O.3
Solak, H.H.4
Edwards, E.W.5
De Pablo, J.J.6
Nealey, P.F.7
-
86
-
-
33846411398
-
Dimensions and shapes of block copolymer domains assembled on lithographically defined chemically patterned substrates
-
doi:10.1021/ma0607564
-
Edwards, E. W., Muller, M., Stoykovich, M. P., Solak, H. H., de Pablo, J. J. & Nealey, P. F. 2007 Dimensions and shapes of block copolymer domains assembled on lithographically defined chemically patterned substrates. Macromolecules 40, 90-96. (doi:10.1021/ma0607564)
-
(2007)
Macromolecules
, vol.40
, pp. 90-96
-
-
Edwards, E.W.1
Muller, M.2
Stoykovich, M.P.3
Solak, H.H.4
De Pablo, J.J.5
Nealey, P.F.6
-
87
-
-
49649099742
-
Density multiplication and improved lithography by directed block copolymer assembly
-
doi:10.1126/science.1157626
-
Ruiz, R., Kang, H. M., Detcheverry, F. A., Dobisz, E., Kercher, D. S., Albrecht, T. R., de Pablo, J. J. & Nealey, P. F. 2008 Density multiplication and improved lithography by directed block copolymer assembly. Science 321, 936-939. (doi:10.1126/science.1157626)
-
(2008)
Science
, vol.321
, pp. 936-939
-
-
Ruiz, R.1
Kang, H.M.2
Detcheverry, F.A.3
Dobisz, E.4
Kercher, D.S.5
Albrecht, T.R.6
De Pablo, J.J.7
Nealey, P.F.8
-
88
-
-
64549083174
-
Directed self-assembly of diblock copolymer thin films on chemically-patterned substrates for defect-free nano-patterning
-
doi:10.1021/ma801542y
-
Tada, Y., Akasaka, S., Yoshida, H., Hasegawa, H., Dobisz, E., Kercher, D. & Takenaka, M. 2008 Directed self-assembly of diblock copolymer thin films on chemically-patterned substrates for defect-free nano-patterning. Macromolecules 41, 9267-9276. (doi:10.1021/ma801542y)
-
(2008)
Macromolecules
, vol.41
, pp. 9267-9276
-
-
Tada, Y.1
Akasaka, S.2
Yoshida, H.3
Hasegawa, H.4
Dobisz, E.5
Kercher, D.6
Takenaka, M.7
-
89
-
-
52649100977
-
Dense self-assembly on sparse chemical patterns: Rectifying and multiplying lithographic patterns using block copolymers
-
doi:10.1002/adma.200800826
-
Cheng, J. Y., Rettner, C. T., Sanders, D. P., Kim, H. C. & Hinsberg, W. D. 2008 Dense self-assembly on sparse chemical patterns: rectifying and multiplying lithographic patterns using block copolymers. Adv. Mater. 20, 3155. (doi:10.1002/adma.200800826)
-
(2008)
Adv. Mater.
, vol.20
, pp. 3155
-
-
Cheng, J.Y.1
Rettner, C.T.2
Sanders, D.P.3
Kim, H.C.4
Hinsberg, W.D.5
-
90
-
-
58149216672
-
Patterning sub-10nm line patterns from a block copolymer hybrid
-
doi:10.1088/0957-4484/19/45/455304
-
Park, S. M., Park, O. H., Cheng, J. Y., Rettner, C. T. & Kim, H. C. 2008 Patterning sub-10nm line patterns from a block copolymer hybrid. Nanotechnology 19, 455304-1-6. (doi:10.1088/0957-4484/19/45/455304)
-
(2008)
Nanotechnology
, vol.19
, pp. 4553041-4553046
-
-
Park, S.M.1
Park, O.H.2
Cheng, J.Y.3
Rettner, C.T.4
Kim, H.C.5
-
91
-
-
56549087657
-
Well-ordered polymer melts with 5nm lamellar domains from blends of a disordered block copolymer and a selectively associating homopolymer of low or high molar mass
-
doi:10.1021/ma801124n
-
Tirumala, V. R., Daga, V., Bosse, A. W., Romang, A., Ilavsky, J., Lin, E. K. & Watkins, J. J. 2008 Well-ordered polymer melts with 5nm lamellar domains from blends of a disordered block copolymer and a selectively associating homopolymer of low or high molar mass. Macromolecules 41, 7978-7985. (doi:10.1021/ma801124n)
-
(2008)
Macromolecules
, vol.41
, pp. 7978-7985
-
-
Tirumala, V.R.1
Daga, V.2
Bosse, A.W.3
Romang, A.4
Ilavsky, J.5
Lin, E.K.6
Watkins, J.J.7
-
92
-
-
54249145197
-
Evolution of block copolymer lithography to highly ordered square arrays
-
doi:10.1126/science.1162950
-
Tang, C. B., Lennon, E. M., Fredrickson, G. H., Kramer, E. J. & Hawker, C. J. 2008 Evolution of block copolymer lithography to highly ordered square arrays. Science 322, 429-432. (doi:10.1126/science.1162950)
-
(2008)
Science
, vol.322
, pp. 429-432
-
-
Tang, C.B.1
Lennon, E.M.2
Fredrickson, G.H.3
Kramer, E.J.4
Hawker, C.J.5
-
93
-
-
49249108261
-
Control of self assembly of lithographically-patternable block copolymer films
-
doi:10.1021/nn8001505
-
Bosworth, J. K., Paik, M. Y., Ruiz, R., Schwartz, E. L., Huang, J. Q., Ko, A. W., Smilgies, D.-M., Black, C. T. & Ober, C. K. 2008 Control of self assembly of lithographically-patternable block copolymer films. ACS Nano 2, 1396-1402. (doi:10.1021/nn8001505)
-
(2008)
ACS Nano
, vol.2
, pp. 1396-1402
-
-
Bosworth, J.K.1
Paik, M.Y.2
Ruiz, R.3
Schwartz, E.L.4
Huang, J.Q.5
Ko, A.W.6
Smilgies, D.-M.7
Black, C.T.8
Ober, C.K.9
-
94
-
-
27144459867
-
Enhancing semiconductor device performance using ordered dopant arrays
-
doi:10.1038/nature04086
-
Shinada, T., Okamoto, S., Kobayashi, T. & Ohdomari, I. 2005 Enhancing semiconductor device performance using ordered dopant arrays. Nature 437, 1128-1131. (doi:10.1038/nature04086)
-
(2005)
Nature
, vol.437
, pp. 1128-1131
-
-
Shinada, T.1
Okamoto, S.2
Kobayashi, T.3
Ohdomari, I.4
-
95
-
-
84864058346
-
Reliable single atom doping and discrete dopant effects on transistor performance
-
San Francisco, CA, 6-8 December 2010, New York, NY: The Institute of Electrical and Electronics Engineers
-
Shinada, T., Hori, M., Yukinori Ono, Y., Taira, K., Komatsubara, A., Tanii, T., Endoh, T. & Ohdomari, I. 2010 Reliable single atom doping and discrete dopant effects on transistor performance. In Proc. IEEE IEDM, San Francisco, CA, 6-8 December 2010, pp. 592-595. New York, NY: The Institute of Electrical and Electronics Engineers.
-
(2010)
Proc. IEEE IEDM
, pp. 592-595
-
-
Shinada, T.1
Hori, M.2
Ono, Y.Y.3
Taira, K.4
Komatsubara, A.5
Tanii, T.6
Endoh, T.7
Ohdomari, I.8
-
96
-
-
22144498431
-
Scanning probe microscopy for silicon device fabrication
-
doi:10.1080/08927020500035580
-
Simmons, M. Y. et al. 2005 Scanning probe microscopy for silicon device fabrication. Mol. Simul. 31, 505-514. (doi:10.1080/08927020500035580)
-
(2005)
Mol. Simul.
, vol.31
, pp. 505-514
-
-
Simmons, M.Y.1
-
97
-
-
37649016574
-
Controlled nanoscale doping of semiconductors via molecular monolayers
-
doi:10.1038/nmat2058
-
Ho, J. C., Yerushalmi, R., Zachery, A., Jacobson, Z. A., Fan, Z., Alley, R. L. & Javey, A. 2008 Controlled nanoscale doping of semiconductors via molecular monolayers. Nat. Mater. 8, 62-67. (doi:10.1038/nmat2058)
-
(2008)
Nat. Mater.
, vol.8
, pp. 62-67
-
-
Ho, J.C.1
Yerushalmi, R.2
Zachery, A.3
Jacobson, Z.A.4
Fan, Z.5
Alley, R.L.6
Javey, A.7
|