-
2
-
-
66749136924
-
From soda to scotch: The evolution of a wireless baseband processor
-
Washington, DC, USA, IEEE Computer Society
-
M.Woh, Y. Lin, S. Seo, S.Mahlke, T.Mudge, C. Chakrabarti, R. Bruce, D. Kershaw, A. Reid, M. Wilder, and K. Flautner. From SODA to Scotch: The evolution of a wireless baseband processor. In MICRO '08: Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture, pages 152-163, Washington, DC, USA, 2008. IEEE Computer Society.
-
(2008)
MICRO '08: Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture
, pp. 152-163
-
-
Woh, M.1
Lin, Y.2
Seo, S.3
Mahlke, S.4
Mudge, T.5
Chakrabarti, C.6
Bruce, R.7
Kershaw, D.8
Reid, A.9
Wilder, M.10
Flautne, K.11
-
4
-
-
0016116644
-
Design of ionimplanted mosfet's with very small physical dimensions
-
Oct.
-
R.H. Dennard, F.H. Gaensslen, V.L. Rideout, E. Bassous, and A.R. LeBlanc. Design of ionimplanted MOSFET's with very small physical dimensions. IEEE Journal of Solid-State Circuits, 9(5):256-268, Oct. 1974.
-
(1974)
IEEE Journal of Solid-State Circuits
, vol.9
, Issue.5
, pp. 256-268
-
-
Dennard, R.H.1
Gaensslen, F.H.2
Rideout, V.L.3
Bassous, E.4
Leblanc, A.R.5
-
6
-
-
0035444223
-
Cognitive radio for flexible mobile multimedia communications
-
J. Mitola, III. Cognitive radio for flexible mobile multimedia communications. Mobile Networks and Applications, 6(5):435-441, 2001.
-
(2001)
Mobile Networks and Applications
, vol.6
, Issue.5
, pp. 435-441
-
-
Mitola III, J.1
-
10
-
-
84891962732
-
-
IEEE., Jan
-
IEEE. http://www.etsi.org/, Jan. 2011.
-
(2011)
-
-
-
11
-
-
0019009078
-
Osi reference model-The iso model of architecture for open systems interconnection
-
April
-
H. Zimmermann. OSI reference model-The ISO model of architecture for open systems interconnection. COM, 28(4), April 1980.
-
(1980)
COM
, vol.28
, Issue.4
-
-
Zimmermann, H.1
-
13
-
-
84863901332
-
Ieee standard for information technology-telecommunications and information exchange between systems-local and metropolitan area networks-specific requirements
-
IEEE Std 802.11-2007 (Revision of IEEE Std 802.11-1999), Dec
-
IEEE Standard for Information technology-Telecommunications and information exchange between systems-Local and metropolitan area networks-Specific requirements-PART 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications. IEEE Std 802.11-2007 (Revision of IEEE Std 802.11-1999), pages C1-C1184, Dec. 2007.
-
(2007)
PART 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications
-
-
-
14
-
-
84892067544
-
-
Dec.
-
Apple Inc. http://www.apple.com/, Dec. 2007.
-
(2007)
Apple Inc.
-
-
-
16
-
-
36248967018
-
Software-defined radio prospects for multistandard mobile phones
-
U. Ramacher. Software-defined radio prospects for multistandard mobile phones. Computer, 40(10):62-69, 2007.
-
(2007)
Computer
, vol.40
, Issue.10
, pp. 62-69
-
-
Ramacher, U.1
-
18
-
-
84892108483
-
-
CoWare. (SPD2006.1), Feb.
-
CoWare. SPD WLAN Library Reference (SPD2006.1), Feb. 2007.
-
(2007)
SPD WLAN Library Reference
-
-
-
19
-
-
0000087207
-
The semantics of a simple language for parallel programming
-
J.L. Rosenfeld, editor, North-Holland, New York, NY
-
G. Kahn. The semantics of a simple language for parallel programming. In J.L. Rosenfeld, editor, Information Processing '74: Proceedings of the IFIP Congress, pages 471-475. North-Holland, New York, NY, 1974.
-
(1974)
Information Processing '74: Proceedings of the IFIP Congress
, pp. 471-475
-
-
Kahn, G.1
-
21
-
-
84892085822
-
Parallelism and physical time constraints in multimedia applications, or another view on iterators (and arrays)
-
Luminy, Dec
-
Z. Chamski. Parallelism and physical time constraints in multimedia applications, or another view on iterators (and arrays). In Synchron'03, Luminy, Dec. 2003.
-
(2003)
Synchron'03
-
-
Chamski, Z.1
-
22
-
-
33947588048
-
A survey of general-purpose computation on graphics hardware
-
J.D. Owens, D. Luebke, N. Govindaraju, M. Harris, J. Krueger, A.E. Lefohn, and T.J. Purcell. A survey of general-purpose computation on graphics hardware. Computer Graphics Forum, 26(1):80-113, 2007.
-
(2007)
Computer Graphics, Forum
, vol.26
, Issue.1
, pp. 80-113
-
-
Owens, J.D.1
Luebke, D.2
Govindaraju, N.3
Harris, M.4
Krueger, J.5
Lefohn, A.E.6
Purcell, T.J.7
-
23
-
-
0042631515
-
Overview of the h.264/avc video coding standard
-
July
-
T. Wiegand, G.J. Sullivan, G. Bjontegaard, and A. Luthra. Overview of the H.264/AVC video coding standard. IEEE Transactions on Circuits and Systems for Video Technology, 13(7):560-576, July 2003.
-
(2003)
IEEE Transactions on Circuits and Systems for Video Technology
, vol.13
, Issue.7
, pp. 560-576
-
-
Wiegand, T.1
Sullivan, G.J.2
Bjontegaard, G.3
Luthra, A.4
-
28
-
-
4444353560
-
Heterogeneous mp-soc-The solution to energy-efficient signal processing
-
San Diego, USA, June
-
T. Kogel and H. Meyr. Heterogeneous MP-SoC-The solution to energy-efficient signal processing. In Design Automation Conference (DAC), San Diego, USA, June 2004.
-
(2004)
Design Automation Conference (DAC)
-
-
Kogel, T.1
Meyr, H.2
-
31
-
-
77951440239
-
Sdr baseband processing portability: A case study
-
RWTH Aachen University, Germany; M. Adrat and M. Antweiler, DePARTment of FKIE/KOM, Wachtberg, Germany.Karlsruhe, Germany, March
-
E.M. Witte, T. Kempf, V. Ramakrishnan, and G. Ascheid, RWTH Aachen University, Germany; M. Adrat and M. Antweiler, DePARTment of FKIE/KOM, Wachtberg, Germany. SDR Baseband Processing Portability: A Case Study. In 5th Karlsruhe Workshop on Software Radios (WSR'08), Karlsruhe, Germany, March 2008.
-
(2008)
5th Karlsruhe Workshop on Software Radios (WSR'08)
-
-
Witte, E.M.1
Kempf, T.2
Ramakrishnan, V.3
Ascheid, G.4
-
32
-
-
0036859776
-
Multiprocessor soc platforms: A component-based design approach
-
Nov./Dec
-
W.O. Cesario, D. Lyonnard, G. Nicolescu, Y. Paviot, S. Yoo, A.A. Jerraya, L. Gauthier, and M. Diaz-Nava. Multiprocessor SoC platforms: A component-based design approach. IEEE Design and Test of Computers, 19(6):52-63, Nov./Dec. 2002.
-
(2002)
IEEE Design and Test of Computers
, vol.19
, Issue.6
, pp. 52-63
-
-
Cesario, W.O.1
Lyonnard, D.2
Nicolescu, G.3
Paviot, Y.4
Yoo, S.5
Jerraya, A.A.6
Gauthier, L.7
Diaz-Nava, M.8
-
37
-
-
84892011674
-
-
Jan.
-
Texas Instruments. TI OMAP. http://focus.ti.com/docs/prod/folders/print/ omap3530.html, Jan. 2011.
-
(2011)
TI OMAP
-
-
-
38
-
-
84892038903
-
-
Jan.
-
Texas Instruments Inc. OMAP 4430 Platform. http://focus.ti.com/en/ graphics/wtbu/OMAP4430-tn.gif, Jan. 2011.
-
(2011)
OMAP 4430 Platform
-
-
-
40
-
-
14244255204
-
The philips nexperia digital video platform
-
G. Martin and H. Chang, editors, Kluwer Academic Publishers, Boston
-
J.A. de Oliveira and H. van Antwerpen. The Philips Nexperia digital video platform. In G. Martin and H. Chang, editors, Winning the SoC Revolution: Experiences in Real Design, Kluwer Academic Publishers, Boston, 2003.
-
(2003)
Winning the SoC Revolution: Experiences in Real Design
-
-
De Oliveira, J.A.1
Van Antwerpen, H.2
-
41
-
-
84892053744
-
-
Jan.
-
Imagination Technologies Ltd. POWERVR Graphics IP, http://www.imgtec.com/ , Jan. 2011.
-
(2011)
POWERVR Graphics IP
-
-
-
42
-
-
84882298906
-
-
Morgan Kaufmann, Los Altos, CA, 1st ed
-
B. Bailey, G. Martin, and A. Piziali. ESL Design and Verification. Morgan Kaufmann, Los Altos, CA, 1st ed., 2007.
-
(2007)
ESL Design and Verification
-
-
Bailey, B.1
Martin, G.2
Piziali, A.3
-
45
-
-
0020632876
-
Very long instruction word architectures and the eli-512
-
Los Alamitos, CA, USA, IEEE Computer Society Press
-
J.A. Fisher. Very long instruction word architectures and the ELI-512. In ISCA '83: Proceedings of the 10th Annual International Symposium on Computer Architecture, pages 140-150, Los Alamitos, CA, USA, 1983. IEEE Computer Society Press.
-
(1983)
ISCA '83: Proceedings of the 10th Annual International Symposium on Computer Architecture
, pp. 140-150
-
-
Fisher, J.A.1
-
46
-
-
84938166141
-
Very high-speed computing systems
-
54:1901-1909, Dec
-
M. Flynn. Very high-speed computing systems. Proceedings of the IEEE, 54:1901-1909, Dec. 1966.
-
(1966)
Proceedings of the IEEE
-
-
Flynn, M.1
-
48
-
-
84891997048
-
-
Tensilica. http://www.tensilica.com/, 2002.
-
(2002)
Tensilica
-
-
-
50
-
-
84893597192
-
Expression: A language for architecture exploration through compiler/simulator retargetability
-
A. Halambi, P. Grun, V. Ganesh, A. Khare, N. Dutt, and A. Nicolau. EXPRESSION: A language for architecture exploration through compiler/simulator retargetability. In Proceedings of the Design Automation and Test in Europe Conference and Exhibition 1999, pages 485-490, 1999.
-
(1999)
Proceedings of the Design Automation and Test in Europe Conference and Exhibition 1999
, pp. 485-490
-
-
Halambi, A.1
Grun, P.2
Ganesh, V.3
Khare, A.4
Dutt, N.5
Nicolau, A.6
-
51
-
-
3042519012
-
A methodology and tool suite for c compiler generation from adl processor models
-
Paris, France, Feb
-
M. Hohenauer, H. Scharwaechter, K. Karuri, O. Wahlen, T. Kogel, R. Leupers, G. Ascheid, H. Meyr, G. Braun, and H. van Someren. A methodology and tool suite for C compiler generation from ADL processor models. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Paris, France, Feb. 2004.
-
(2004)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE)
-
-
Hohenauer, M.1
Scharwaechter, H.2
Karuri, K.3
Wahlen, O.4
Kogel, T.5
Leupers, R.6
Ascheid, G.7
Meyr, H.8
Braun, G.9
Van Someren, H.10
-
52
-
-
0034846651
-
Hardware/software instruction set configurability for system-on-chip processors
-
New York, NY, USA, ACM
-
A. Wang, E. Killian, D. Maydan, and C. Rowen. Hardware/software instruction set configurability for system-on-chip processors. In DAC '01: Proceedings of the 38th conference on Design automation, pages 184-188, New York, NY, USA, 2001. ACM.
-
(2001)
DAC '01: Proceedings of the 38th Conference on Design Automation
, pp. 184-188
-
-
Wang, A.1
Killian, E.2
Maydan, D.3
Rowen, C.4
-
53
-
-
50249100836
-
Reconfigurable computing: The theory and practice of fpga-based computation
-
editors., Morgan Kaufmann, Los Altos, CA, Nov
-
S. Hauck and A. Dehon, editors. Reconfigurable computing: The theory and practice of FPGA-based computation. Systems on Silicon.Morgan Kaufmann, Los Altos, CA, Nov. 2007.
-
(2007)
Systems on Silicon
-
-
Hauck, S.1
Dehon, A.2
-
54
-
-
84892133425
-
-
Jan.
-
Stretch Inc. http://www.stretchinc.com/, Jan. 2011.
-
(2011)
Stretch Inc
-
-
-
55
-
-
84867123950
-
-
Springer Publishing Company, Incorporated, Berlin (Heidelberg/New York
-
A. Chattopadhyay, R. Leupers, H. Meyr, and G. Ascheid. Language-driven Exploration and Implementation of PARTially Re-configurable ASIPs. Springer Publishing Company, Incorporated, Berlin (Heidelberg/New York), 2008.
-
(2008)
Language-driven Exploration and Implementation of PARTially Re-configurable ASIPs
-
-
Chattopadhyay, A.1
Leupers, R.2
Meyr, H.3
Ascheid, G.4
-
56
-
-
34047130293
-
A design flow for configurable embedded processors based on optimized instruction set extension synthesis
-
Munich, Germany, March
-
R. Leupers, K. Karuri, S. Kraemer, and M. Pandey. A design flow for configurable embedded processors based on optimized instruction set extension synthesis. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, March 2006.
-
(2006)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
-
-
Leupers, R.1
Karuri, K.2
Kraemer, S.3
Pandey, M.4
-
59
-
-
52649111660
-
A reconfigurable asip for convolutional and turbo decoding in an sdr environment
-
Oct.
-
T. Vogt and N. Wehn. A reconfigurable ASIP for convolutional and turbo decoding in an SDR environment. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16(10):1309-1320, Oct. 2008.
-
(2008)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.16
, Issue.10
, pp. 1309-1320
-
-
Vogt, T.1
Wehn, N.2
-
60
-
-
80755168733
-
A practical view of sdr baseband processing portability
-
Washington, USA, Oct
-
T. Kempf, E.M.Witte, V. Ramakrishnan, G. Ascheid, M. Adrat, and M. Antweiler. A practical view of SDR baseband processing portability. In Software Defined Radio Technical Conference (SDR'08), Washington, USA, Oct. 2008.
-
(2008)
Software Defined Radio Technical Conference (SDR'08)
-
-
Kempf, T.1
Witte, E.M.2
Ramakrishnan, V.3
Ascheid, G.4
Adrat, M.5
Antweiler, M.6
-
61
-
-
84892100466
-
-
Jan.
-
DSP-C Website. http://www.dsp-c.org/, Jan. 2011.
-
(2011)
DSP-C Website
-
-
-
62
-
-
84892043424
-
-
Oct.
-
DSP-C Specification. http://www.open-std.org/JTC1/SC22/WG14/www/docs/ n854.pdf, Oct. 1998.
-
(1998)
DSP-C Specification
-
-
-
64
-
-
84892004216
-
C compiler retargeting
-
Ienne, P. and Leupers, R. editors, Morgan Kaufmann, Los Altos, CA, July, Series in Systems on Silicon, ISBN 0-1236-9526-0
-
R. Leupers. C compiler retargeting. In Ienne, P. and Leupers, R. editors, Customizable Embedded Processors: Design Technologies and Applications. Morgan Kaufmann, Los Altos, CA, July 2006. Series in Systems on Silicon, ISBN 0-1236-9526-0.
-
(2006)
Customizable Embedded Processors: Design Technologies and Applications
-
-
Leupers, R.1
-
65
-
-
0006366481
-
Network on a chip: An architecture for billion transistor era
-
November
-
A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Ö berg, M. Millberg, D. Lindqvist. Network on a chip: An architecture for billion transistor era. In Norchip Conference, pages 166-173, November 2000.
-
(2000)
Norchip Conference
, pp. 166-173
-
-
Hemani, A.1
Jantsch, A.2
Kumar, S.3
Postula, A.4
Berg, J.Ö.5
Millberg, M.6
Lindqvist, D.7
-
66
-
-
84892122439
-
-
Jan.
-
OCP IP. http://www.ocpip.org/, Jan. 2011.
-
(2011)
OCP IP
-
-
-
67
-
-
68849099730
-
Survey of network-on-chip proposals
-
April, Available online
-
E. Salminen, A. Kulmala, and T.D. Hamalainen. Survey of Network-on-chip Proposals. White paper, OCP-IP, April 2008. Available online (13 pages).
-
(2008)
White Paper, OCP-IP
, pp. 13
-
-
Salminen, E.1
Kulmala, A.2
Hamalainen, T.D.3
-
68
-
-
36849063126
-
Research challenges for on-chip interconnection networks
-
Sep.-Oct.
-
J.D. Owens, W.J. Dally, R. Ho, D.N. Jayasimha, S.W. Keckler, and L.-S. Peh. Research challenges for on-chip interconnection networks. IEEE Micro, pages 96-108, Sep.-Oct. 2007.
-
(2007)
IEEE Micro
, pp. 96-108
-
-
Owens, J.D.1
Dally, W.J.2
Ho, R.3
Jayasimha, D.N.4
Keckler, S.W.5
Peh, L.-S.6
-
69
-
-
0042111484
-
-
editors. Kluwer Academic Publishers, Hingham, MA, USA
-
A. Jantsch and H. Tenhunen, editors. Networks on chip. Kluwer Academic Publishers, Hingham, MA, USA, 2003.
-
(2003)
Networks on Chip
-
-
Jantsch, A.1
Tenhunen, H.2
-
70
-
-
0346048126
-
-
Kluwer Academic Publishers, Norwell, MA, USA
-
P. Grun, A. Nicolau, and N. Dutt. Memory Architecture Exploration for Programmable Embedded Systems. Kluwer Academic Publishers, Norwell, MA, USA, 2002.
-
(2002)
Memory Architecture Exploration for Programmable Embedded Systems
-
-
Grun, P.1
Nicolau, A.2
Dutt, N.3
-
71
-
-
49749125947
-
A reconfigurable application specific instruction set processor for convolutional and turbo decoding in a sdr environment
-
New York, NY, USA, ACM
-
T. Vogt and N. Wehn. A reconfigurable application specific instruction set processor for convolutional and turbo decoding in a SDR environment. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), pages 38-43, New York, NY, USA, 2008. ACM.
-
(2008)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 38-43
-
-
Vogt, T.1
Wehn, N.2
-
73
-
-
62949178652
-
Design and verification challenges for 3g/3.5g/4g wireless baseband mpsocs
-
June
-
M. Speth, H. Dawid, and F. Gersemsky. Design and verification challenges for 3G/3.5G/4G wireless baseband MPSoCs. In MPSoC'08, June 2008.
-
(2008)
MPSoC'08
-
-
Speth, M.1
Dawid, H.2
Gersemsky, F.3
-
77
-
-
29144446173
-
Methods for evaluating and covering the design space during early design development
-
University of California at Berkeley, Aug
-
M. Gries. Methods for Evaluating and Covering the Design Space during Early Design Development. Technical Report UCB/ERL M03/32, Electronics Research Lab, University of California at Berkeley, Aug. 2003.
-
(2003)
Technical Report UCB/ERL M03/32, Electronics Research Lab
-
-
Gries, M.1
-
80
-
-
0031097394
-
Design of embedded systems: Formal models, validation, and synthesis
-
S. Edwards, L. Lavagno, E.A. Lee, and A. Sangiovanni-vincentelli. Design of embedded systems: Formal models, validation, and synthesis. In Proceedings of the IEEE, pages 366-390, 1997.
-
(1997)
Proceedings of the IEEE
, pp. 366-390
-
-
Edwards, S.1
Lavagno, L.2
Lee, E.A.3
Sangiovanni-Vincentelli, A.4
-
84
-
-
0020087077
-
Data flow program graphs
-
Feb.
-
A.L. Davis and R.M. Keller. Data flow program graphs. Computer, 15(2):26-41, Feb. 1982.
-
(1982)
Computer
, vol.15
, Issue.2
, pp. 26-41
-
-
Davis, A.L.1
Keller, R.M.2
-
85
-
-
0023138886
-
Static scheduling of synchronous data flow programs for digital signal processing
-
E.A. Lee and D.G. Messerschmitt. Static scheduling of synchronous data flow programs for digital signal processing. IEEE Transactions on Computers, 36(1):24-35, 1987.
-
(1987)
IEEE Transactions on Computers
, vol.36
, Issue.1
, pp. 24-35
-
-
Lee, E.A.1
Messerschmitt, D.G.2
-
86
-
-
0003723486
-
-
Marcel Dekker, Inc. New York, NY, USA
-
S. Sriram and S.S. Bhattacharyya. Embedded Multiprocessors: Scheduling and Synchronization. Marcel Dekker, Inc., New York, NY, USA, 2000.
-
(2000)
Embedded Multiprocessors: Scheduling and Synchronization
-
-
Sriram, S.1
Bhattacharyya, S.S.2
-
90
-
-
0018005391
-
Communicating sequential processes
-
C.A.R. Hoare. Communicating sequential processes. Communications of the ACM, 21(8):666-677, 1978.
-
(1978)
Communications of the ACM
, vol.21
, Issue.8
, pp. 666-677
-
-
Hoare, C.A.R.1
-
92
-
-
0022701004
-
Signal-A data flow-oriented language for signal processing
-
April
-
P. Le Guernic, A. Benveniste, P. Bournai, and T. Gautier. Signal-A data flow-oriented language for signal processing. IEEE Transactions on Acoustics, Speech and Signal Processing, 34(2):362-374, April 1986.
-
(1986)
IEEE Transactions on Acoustics, Speech and Signal Processing
, vol.34
, Issue.2
, pp. 362-374
-
-
Le Guernic, P.1
Benveniste, A.2
Bournai, P.3
Gautier, T.4
-
93
-
-
0026221661
-
The synchronous data flow programming language lustre
-
Sept.
-
N. Halbwachs, P. Caspi, P. Raymond, and D. Pilaud. The synchronous data flow programming language LUSTRE. Proceedings of the IEEE, 79(9):1305-1320, Sept. 1991.
-
(1991)
Proceedings of the IEEE
, vol.79
, Issue.9
, pp. 1305-1320
-
-
Halbwachs, N.1
Caspi, P.2
Raymond, P.3
Pilaud, D.4
-
95
-
-
0003234780
-
The argos language: Graphical representation of automata and description of reactive systems
-
Kobe, Japan, Oct.
-
F. Maraninchi. The Argos language: graphical representation of automata and description of reactive systems. In IEEE Workshop on Visual Languages, Kobe, Japan, Oct. 1991.
-
(1991)
IEEE Workshop on Visual Languages
-
-
Maraninchi, F.1
-
96
-
-
0003900864
-
-
Kluwer Academic Publishers, Norwell, MA, USA
-
R. Lipsett, C.A. Ussery, and C.F. Schaefer. VHDL, Hardware Description and Design. Kluwer Academic Publishers, Norwell, MA, USA, 1993.
-
(1993)
VHDL, Hardware Description and Design
-
-
Lipsett, R.1
Ussery, C.A.2
Schaefer, C.F.3
-
97
-
-
0004149896
-
-
Kluwer Academic Publishers, Dordrecht (Hingham, MA
-
T. Grötker, S. Liao, G. Martin, S. Swan. System Design with SystemC. Kluwer Academic Publishers, Dordrecht (Hingham, MA), 2002.
-
(2002)
System Design with SystemC
-
-
Grötker, T.1
Liao, S.2
Martin, G.3
Swan, S.4
-
98
-
-
0344089201
-
A decade of hardware/software codesign
-
April
-
W.Wolf. A decade of hardware/software codesign. IEEE Computer, 36(4):38-43, April 2003.
-
(2003)
IEEE Computer
, vol.36
, Issue.4
, pp. 38-43
-
-
Wolf, W.1
-
99
-
-
0031097394
-
Design of embedded systems: Formal models, validation, and synthesis
-
March
-
S.A. Edwards, L. Lavagno, E.A. Lee, and A. Sangiovanni-Vincentelli. Design of embedded systems: Formal models, validation, and synthesis. Proceedings of the IEEE, 85(3):366-390, March 1997.
-
(1997)
Proceedings of the IEEE
, vol.85
, Issue.3
, pp. 366-390
-
-
Edwards, S.A.1
Lavagno, L.2
Lee, E.A.3
Sangiovanni-Vincentelli, A.4
-
100
-
-
84892341104
-
-
Springer-Verlag New York, Inc. Secaucus, NJ, USA
-
T. Kogel, R. Leupers, and H. Meyr. Integrated System-Level Modeling of Network-on-Chip enabled Multi-Processor Platforms. Springer-Verlag New York, Inc., Secaucus, NJ, USA, 2006.
-
(2006)
Integrated System-Level Modeling of Network-on-Chip Enabled Multi-Processor Platforms
-
-
Kogel, T.1
Leupers, R.2
Meyr, H.3
-
102
-
-
0042500420
-
-
Kluwer Academic Publishers, Norwell, MA, USA
-
J. Buck, S. Ha, E.A. Lee, and D.G. Messerschmitt. Ptolemy: A Framework for Simulating and Prototyping Heterogeneous Systems. Kluwer Academic Publishers, Norwell, MA, USA, 2002.
-
(2002)
Ptolemy: A Framework for Simulating and Prototyping Heterogeneous Systems
-
-
Buck, J.1
Ha, S.2
Lee, E.A.3
Messerschmitt, D.G.4
-
103
-
-
0003733188
-
-
Springer-Verlag Gmbh, Berlin Heidelberg
-
F. Balarin, P.D. Giusto, A. Jurecska, C. Passerone, E. Sentovich, B. Tabbara, M. Chiodo, H. Hsieh, L. Lavagno, A. Sangiovanni-Vincentelli, and K. Suzuki. Hardware-Software Co-Design of Embedded Systems: The POLIS Approach. Springer-Verlag Gmbh, Berlin Heidelberg, 1997.
-
(1997)
Hardware-Software Co-Design of Embedded Systems: The POLIS Approach
-
-
Balarin, F.1
Giusto, P.D.2
Jurecska, A.3
Passerone, C.4
Sentovich, E.5
Tabbara, B.6
Chiodo, M.7
Hsieh, H.8
Lavagno, L.9
Sangiovanni-Vincentelli, A.10
Suzuki, K.11
-
104
-
-
84882415057
-
Platform-based co-design and co-development: Experience methodology and trends
-
Monterey, CA, USA
-
G. Martin and J.-Y. Brunel. Platform-based co-design and co-development: Experience methodology and trends. In Electronic Design Process Workshop, Monterey, CA, USA, 2002.
-
(2002)
Electronic Design Process Workshop
-
-
Martin, G.1
Brunel, J.-Y.2
-
107
-
-
84893692069
-
The simulation semantics of systemc
-
W. Mueller, J. Ruf, D. Hoffmann, J. Gerlach, T. Kropf, and W. Rosenstiehl. The simulation semantics of SystemC. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), 2001.
-
(2001)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
-
-
Mueller, W.1
Ruf, J.2
Hoffmann, D.3
Gerlach, J.4
Kropf, T.5
Rosenstiehl, W.6
-
108
-
-
84889874021
-
Methodology for atm-cell processing system design
-
Washington, DC, Sept
-
A. Müller, T. Kogel, and G. Post. Methodology for ATM-Cell processing system design. In 12th Annual 1999 IEEE International ASIC/SOC Conference, Washington, DC, Sept. 1999.
-
(1999)
12th Annual 1999 IEEE International ASIC/SOC Conference
-
-
Müller, A.1
Kogel, T.2
Post, G.3
-
109
-
-
0026989880
-
Synthesis and simulation of digital systems containing interacting hardware and software components
-
Los Alamitos, CA, USA, IEEE Computer Society Press
-
R.K. Gupta, C.N. Coelho Jr., and G. De Micheli. Synthesis and simulation of digital systems containing interacting hardware and software components. In DAC '92: Proceedings of the 29th ACM/IEEE conference on Design automation, pages 225-230, Los Alamitos, CA, USA, 1992. IEEE Computer Society Press.
-
(1992)
DAC '92: Proceedings of the 29th ACM/IEEE Conference on Design Automation
, pp. 225-230
-
-
Gupta, R.K.1
Coelho Jr., C.N.2
De Micheli, G.3
-
110
-
-
84943730764
-
Hardware-software cosynthesis for microcontrollers
-
Dec
-
R. Ernst, J. Henkel, and T. Benner. Hardware-software cosynthesis for microcontrollers. IEEE Design and Test of Computers, 10(4):64-75, Dec. 1993.
-
(1993)
IEEE Design and Test of Computers
, vol.10
, Issue.4
, pp. 64-75
-
-
Ernst, R.1
Henkel, J.2
Benner, T.3
-
111
-
-
0031099474
-
Lycos: The lyngby co-synthesis system
-
J. Madsen, J. Grode, P.V. Knudsen, M.E. Petersen, and A. Haxthausen. LYCOS: The Lyngby co-synthesis system. Design Automation of Embedded Systems, 2(2):195-236, 1997.
-
(1997)
Design Automation of Embedded Systems
, vol.2
, Issue.2
, pp. 195-236
-
-
Madsen, J.1
Grode, J.2
Knudsen, P.V.3
Petersen, M.E.4
Haxthausen, A.5
-
112
-
-
0028585323
-
Cosmos: A codesign approach for communicating systems
-
Silver Spring, MD, Sept. IEEE Computer Society Press
-
T.B. Ismail, M. Abid, and A. Jerraya. COSMOS: A codesign approach for communicating systems. In Third International Workshop on Hardware/Software Codesign, pages 17-24, Silver Spring, MD, Sept. 1994. IEEE Computer Society Press.
-
(1994)
Third International Workshop on Hardware/Software Codesign
, pp. 17-24
-
-
Ismail, T.B.1
Abid, M.2
Jerraya, A.3
-
113
-
-
0029547607
-
Communication synthesis for distributed embedded systems
-
Washington, DC, USA, IEEE Computer Society
-
T.-Y. Yen and W. Wolf. Communication synthesis for distributed embedded systems. In ICCAD '95: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, pages 288-294, Washington, DC, USA, 1995. IEEE Computer Society.
-
(1995)
ICCAD '95: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design
, pp. 288-294
-
-
Yen, T.-Y.1
Wolf, W.2
-
115
-
-
14844365666
-
Noc synthesis flow for customized domain specific multiprocessor systems-on-chip
-
Feb.
-
D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, and G. De Micheli. Noc synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Transactions on Parallel and Distributed Systems, 16(2):113-129, Feb. 2005.
-
(2005)
IEEE Transactions on Parallel and Distributed Systems
, vol.16
, Issue.2
, pp. 113-129
-
-
Bertozzi, D.1
Jalabert, A.2
Murali, S.3
Tamhankar, R.4
Stergiou, S.5
Benini, L.6
De Micheli, G.7
-
116
-
-
4043150092
-
Xpipes: A network-on-chip architecture for gigascale systems-onchip
-
D. Bertozzi and L. Benini. Xpipes: A network-on-chip architecture for gigascale systems-onchip. IEEE Circuits and Systems Magazine, 4(2):18-31, 2004.
-
(2004)
IEEE Circuits and Systems Magazine
, vol.4
, Issue.2
, pp. 18-31
-
-
Bertozzi, D.1
Benini, L.2
-
117
-
-
4444335188
-
Sunmap: A tool for automatic topology selection and generation for nocs
-
New York, NY, USA, ACM
-
S. Murali and G. De Micheli. SUNMAP: A tool for automatic topology selection and generation for NoCs. In DAC '04: Proceedings of the 41st Annual Conference on Design Automation, pages 914-919, New York, NY, USA, 2004. ACM.
-
(2004)
DAC '04: Proceedings of the 41st Annual Conference on Design Automation
, pp. 914-919
-
-
Murali, S.1
De Micheli, G.2
-
119
-
-
0344951184
-
Metropolis: An integrated electronic system design environment
-
April
-
F. Balarin, Y.Watanabe, H. Hsieh, L. Lavagno, C. Passerone, and A. Sangiovanni-Vincentelli. Metropolis: An integrated electronic system design environment. IEEE Computer, 36(4): 45-52, April 2003.
-
(2003)
IEEE Computer
, vol.36
, Issue.4
, pp. 45-52
-
-
Balarin, F.1
Watanabe, Y.2
Hsieh, H.3
Lavagno, L.4
Passerone, C.5
Sangiovanni-Vincentelli, A.6
-
120
-
-
84892098759
-
Processes, interfaces and platforms, Embedded software modeling in metropolis
-
October
-
F. Balarin, L. Lavagno, C. Passerone, and Y. Watanabe. Processes, interfaces and platforms. Embedded software modeling in Metropolis. In Proceedings of EMSOFT'02, October 2002.
-
(2002)
Proceedings of EMSOFT'02
-
-
Balarin, F.1
Lavagno, L.2
Passerone, C.3
Watanabe, Y.4
-
121
-
-
0033307423
-
A methodology for architecture exploration of heterogeneous signal processing systems
-
P. Lieverse, P. Van Der Wolf, E. Deprettere, and K. Vissers. A methodology for architecture exploration of heterogeneous signal processing systems. In Proceedings of IEEE Workshop on Signal Processing Systems SiPS 99, pages 181-190, 1999.
-
(1999)
Proceedings of IEEE Workshop on Signal Processing Systems SiPS 99
, pp. 181-190
-
-
Lieverse, P.1
Van Der Wolf, P.2
Deprettere, E.3
Vissers, K.4
-
122
-
-
0000404969
-
A methodology for architecture exploration of heterogeneous signal processing systems
-
Nov.
-
E. Deprettere P. Lieverse, P. Van Der Wolf, and K. Vissers. A methodology for architecture exploration of heterogeneous signal processing systems. Journal of VLSI Signal Processing for Signal, Image and Video Technology, 29(3):197-207, Nov. 2001.
-
(2001)
Journal of VLSI Signal Processing for Signal, Image and Video Technology
, vol.29
, Issue.3
, pp. 197-207
-
-
Deprettere, E.1
Lieverse, P.2
Van Der Wolf, P.3
Vissers, K.4
-
123
-
-
0033682583
-
Yapi: Application modeling for signal processing systems
-
ACM Press, New York
-
E.A. de Kock, W.J.M. Smits, P. Van Der Wolf, J.-Y. Brunel, W.M. Kruijtzer, P. Lieverse, K.A. Vissers, and G. Essink. YAPI: Application modeling for signal processing systems. In Proceedings of the Design Automation Conference (DAC), pages 402-405. ACM Press, New York, 2000.
-
(2000)
Proceedings of the Design Automation Conference (DAC)
, pp. 402-405
-
-
De Kock, E.A.1
Smits, W.J.M.2
Van Der Wolf, P.3
Brunel, J.-Y.4
Kruijtzer, W.M.5
Lieverse, P.6
Vissers, K.A.7
Essink, G.8
-
124
-
-
0035499644
-
Exploring embedded-systems architectures with artemis
-
Nov.
-
A.D. Pimentel, L.O. Hertzberger, P. Lieverse, P. Van Der Wolf, and E.F. Deprettere. Exploring embedded-systems architectures with artemis. IEEE Computer, 34(11):57-63, Nov. 2001.
-
(2001)
IEEE Computer
, vol.34
, Issue.11
, pp. 57-63
-
-
Pimentel, A.D.1
Hertzberger, L.O.2
Lieverse, P.3
Van Der Wolf, P.4
Deprettere, E.F.5
-
125
-
-
33744721815
-
A systematic approach to exploring embedded system architectures at multiple abstraction levels
-
Andy D. Member-Pimentel and Cagkan Erbas
-
S. Polstra. A systematic approach to exploring embedded system architectures at multiple abstraction levels. IEEE Transactions on Computers, 55(2):99-112, 2006. Andy D. Member-Pimentel and Cagkan Erbas.
-
(2006)
IEEE Transactions on Computers
, vol.55
, Issue.2
, pp. 99-112
-
-
Polstra, S.1
-
128
-
-
84948968522
-
Design space exploration of streaming multiprocessor architectures
-
Oct.
-
V.D. Zivkovic, E. Deprettere, P. Van Der Wolf, and E. de Kock. Design space exploration of streaming multiprocessor architectures. In Proceedings of the IEEE Workshop on Signal Processing Systems (SIPS '02), pages 228-234, Oct. 2002.
-
(2002)
Proceedings of the IEEE Workshop on Signal Processing Systems (SIPS '02)
, pp. 228-234
-
-
Zivkovic, V.D.1
Deprettere, E.2
Van Der Wolf, P.3
De Kock, E.4
-
129
-
-
51549119581
-
Daedalus: Toward composable multimedia mp-soc design
-
New York, NY, USA, ACM
-
H. Nikolov, M. Thompson, T. Stefanov, A. Pimentel, S. Polstra, R. Bose, C. Zissulescu, and E. Deprettere. Daedalus: Toward composable multimedia mp-soc design. In DAC '08: Proceedings of the 45th Annual Conference on Design Automation, pages 574-579, New York, NY, USA, 2008. ACM.
-
(2008)
DAC '08: Proceedings of the 45th Annual Conference on Design Automation
, pp. 574-579
-
-
Nikolov, H.1
Thompson, M.2
Stefanov, T.3
Pimentel, A.4
Polstra, S.5
Bose, R.6
Zissulescu, C.7
Deprettere, E.8
-
130
-
-
0036645618
-
A heterogeneous multiprocessor architecture for flexible media processing
-
July/Aug
-
M.J. Rutten, J.T.J. van Eijndhoven, E.G.T. Jaspers, P. van derWolf, O.P. Gangwal, A. Timmer, and E.-J.D. Pol. A heterogeneous multiprocessor architecture for flexible media processing. IEEE Design and Test of Computers, 19(4):39-50, July/Aug. 2002.
-
(2002)
IEEE Design and Test of Computers
, vol.19
, Issue.4
, pp. 39-50
-
-
Rutten, M.J.1
Van Eijndhoven, J.T.J.2
Jaspers, E.G.T.3
Van Derwolf, P.4
Gangwal, O.P.5
Timmer, A.6
Pol, E.-J.D.7
-
132
-
-
0345855761
-
Layered, multi-threaded, high-level performance design
-
A.S. Cassidy, J.M. Paul, and D.E. Thomas. Layered, multi-threaded, high-level performance design. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), 2003.
-
(2003)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
-
-
Cassidy, A.S.1
Paul, J.M.2
Thomas, D.E.3
-
133
-
-
0042134836
-
Schedulers as model-based design elements in programmable heterogeneous multiprocessors
-
J.M. Paul, A. Bobrek, J.E. Nelson, J.J. Pieper, and D.E. Thomas. Schedulers as model-based design elements in programmable heterogeneous multiprocessors. In Proceedings of the Design Automation Conference (DAC), 2003.
-
(2003)
Proceedings of the Design Automation Conference (DAC)
-
-
Paul, J.M.1
Bobrek, A.2
Nelson, J.E.3
Pieper, J.J.4
Thomas, D.E.5
-
134
-
-
33646937706
-
Arts: A system-level framework for modeling mpsoc components and analysis of their causality
-
Sept.
-
S. Mahadevan, M. Storgaard, J. Madsen, and K. Virk. ARTS: A system-level framework for modeling MPSoC components and analysis of their causality. In Proceedings of MASCOTS' 05, pages 480-483, Sept. 2005.
-
(2005)
Proceedings of MASCOTS' 05
, pp. 480-483
-
-
Mahadevan, S.1
Storgaard, M.2
Madsen, J.3
Virk, K.4
-
135
-
-
0348195766
-
Network-on-chip modeling for systemlevel multiprocessor simulation
-
Washington, DC, USA, IEEE Computer Society
-
J. Madsen, S. Mahadevan, K. Virk, and M. Gonzalez. Network-on-chip modeling for systemlevel multiprocessor simulation. In RTSS '03: Proceedings of the 24th IEEE International Real-Time Systems Symposium, page 265, Washington, DC, USA, 2003. IEEE Computer Society.
-
(2003)
RTSS '03: Proceedings of the 24th IEEE International Real-Time Systems Symposium
, pp. 265
-
-
Madsen, J.1
Mahadevan, S.2
Virk, K.3
Gonzalez, M.4
-
137
-
-
78650054976
-
Abstract rtos modelling for multiprocessor systemon-chip
-
New York. IEEE, Nov
-
K. Virk, J. Madsen, and M.J. Gonzalez. Abstract RTOS modelling for multiprocessor systemon-chip. In International Symposium on System-on-Chip, pages 147-150, New York. IEEE, Nov. 2003.
-
(2003)
International Symposium on System-on-Chip
, pp. 147-150
-
-
Virk, K.1
Madsen, J.2
Gonzalez, M.J.3
-
138
-
-
0036857007
-
Stepnp: A system-level exploration platform for network processors
-
Nov.-Dec
-
E. Bensoudane, P.G. Paulin, and C. Pilkington. StepNP: A system-level exploration platform for network processors. IEEE Design and Test of Computers, 19(6):17-26, Nov.-Dec. 2002.
-
(2002)
IEEE Design and Test of Computers
, vol.19
, Issue.6
, pp. 17-26
-
-
Bensoudane, E.1
Paulin, P.G.2
Pilkington, C.3
-
139
-
-
0041851132
-
The click modular router
-
R. Morris, E. Kohler, J. Jannotti, and M.F. Kaashoek. The Click modular router. SIGOPS Operating Systems Review, 33(5):217-231, 1999.
-
(1999)
SIGOPS Operating Systems Review
, vol.33
, Issue.5
, pp. 217-231
-
-
Morris, R.1
Kohler, E.2
Jannotti, J.3
Kaashoek, M.F.4
-
141
-
-
63149183850
-
Hardware/software ip integration using the roses design environment
-
F.R. Wagner, W. Ceśario, and A.A. Jerraya. Hardware/software IP integration using the ROSES design environment. Transaction on Embedded Computing System, 6(3):17, 2007.
-
(2007)
Transaction on Embedded Computing System
, vol.6
, Issue.3
, pp. 17
-
-
Wagner, F.R.1
Ceśario, W.2
Jerraya, A.A.3
-
146
-
-
0005454612
-
Superlog, a unified design language for system-on-chip
-
New York, NY, USA, ACM
-
P.L. Flake and S.J. Davidmann. Superlog, a unified design language for system-on-chip. In Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC), pages 583-586, New York, NY, USA, 2000. ACM.
-
(2000)
Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC)
, pp. 583-586
-
-
Flake, P.L.1
Davidmann, S.J.2
-
147
-
-
3042572987
-
-
editors, Kluwer Academic Publishers, Dordrecht, June
-
W. Müller, W. Rosenstiel, and J. Ruf, editors, SystemC-Methodologies and Applications, Kluwer Academic Publishers, Dordrecht, June 2003.
-
(2003)
SystemC-Methodologies and Applications
-
-
Müller, W.1
Rosenstiel, W.2
Ruf, J.3
-
148
-
-
0034428118
-
Systemlevel design: Orthogonalization of concerns and platform-based design
-
Dec.
-
K. Keutzer, S. Malik, A.R. Newton, J.M. Rabaey, and A. Sangiovanni-Vincentelli. Systemlevel design: Orthogonalization of concerns and platform-based design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19(12):1523-1543, Dec. 2000.
-
(2000)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer, K.1
Malik, S.2
Newton, A.R.3
Rabaey, J.M.4
Sangiovanni-Vincentelli, A.5
-
150
-
-
0032638580
-
How vsia answers the soc dilemma
-
Jun
-
M. Birnbaum and H. Sachs. How VSIA answers the SOC dilemma. IEEE Computer, 32(6):42-50, Jun 1999.
-
(1999)
IEEE Computer
, vol.32
, Issue.6
, pp. 42-50
-
-
Birnbaum, M.1
Sachs, H.2
-
152
-
-
84892123738
-
-
Jan.
-
Open Core Protocol International PARTnership (OCP-IP). OCP datasheet, http://www.ocpip.org Jan. 2011.
-
(2011)
OCP Datasheet
-
-
-
154
-
-
84892122034
-
A seamless software defined radio development flow for waveform and prototype debugging
-
RWTH Aachen University, Germany; M. Adrat and M. Antweiler, DePARTment of FKIE/KOM, Wachtberg, Germany. Warsaw, Poland
-
E.M. Witte, T. Kempf, V. Ramakrishnan, and G. Ascheid, RWTH Aachen University, Germany; M. Adrat and M. Antweiler, DePARTment of FKIE/KOM, Wachtberg, Germany. A seamless software defined radio development flow for waveform and prototype debugging. In 02/2008 Journal of Telecommunications and Information Technology (JTIT), Warsaw, Poland, 2008.
-
(2008)
02/2008 Journal of Telecommunications and Information Technology (JTIT)
-
-
Witte, E.M.1
Kempf, T.2
Ramakrishnan, V.3
Ascheid, G.4
-
155
-
-
0033359728
-
The revolution in systems engineering
-
Sept.
-
G.R. Hellestrand. The revolution in systems engineering. IEEE Spectrum, 36(9):43-51, Sept. 1999.
-
(1999)
IEEE Spectrum
, vol.36
, Issue.9
, pp. 43-51
-
-
Hellestrand, G.R.1
-
156
-
-
0034428118
-
System-level design: Orthogonalization of concerns and platform-based design
-
K. Keutzer, A.R. Newton, J.M. Rabaey, and A. Sangiovanni-Vincentelli. System-level design: Orthogonalization of concerns and platform-based design. IEEE Journal of Computer Aided Design, 19(12):1523-1543, 2000.
-
(2000)
IEEE Journal of Computer Aided Design
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer, K.1
Newton, A.R.2
Rabaey, J.M.3
Sangiovanni-Vincentelli, A.4
-
158
-
-
84892081620
-
-
Jan.
-
CoWare Model Library. http://www.coware.com/, Jan. 2011.
-
(2011)
CoWare Model Library
-
-
-
159
-
-
84892085455
-
-
Jan.
-
Doulos. http://www.doulos.com/, Jan. 2011.
-
(2011)
Doulos
-
-
-
160
-
-
10044231557
-
A universal technique for fast and flexible instruction-set architecture simulation
-
Dec.
-
G. Braun, A. Nohl, A. Hoffmann, O. Schliebusch, R. Leupers, and H. Meyr. A universal technique for fast and flexible instruction-set architecture simulation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23(12):1625-1639, Dec. 2004.
-
(2004)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.23
, Issue.12
, pp. 1625-1639
-
-
Braun, G.1
Nohl, A.2
Hoffmann, A.3
Schliebusch, O.4
Leupers, R.5
Meyr, H.6
-
161
-
-
84889798621
-
-
Springer Publishing Company, Inc. Berlin, Heidelberg
-
A.Wieferink, H.Meyr, and R. Leupers. Retargetable Processor System Integration intoMulti-Processor System-on-Chip Platforms. Springer Publishing Company, Inc., Berlin, Heidelberg, 2008.
-
(2008)
Retargetable Processor System Integration IntoMulti-Processor System-on-Chip Platforms
-
-
Wieferink, A.1
Meyr, H.2
Leupers, R.3
-
162
-
-
38849087241
-
A fast and generic hybrid simulation approach using c virtual machine
-
Salzburg, Austria, Oct
-
L. Gao, S. Kraemer, R. Leupers, G. Ascheid, and H. Meyr. A fast and generic hybrid simulation approach using C virtual machine. In Proceedings of the Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES '07), Salzburg, Austria, Oct. 2007.
-
(2007)
Proceedings of the Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES '07)
-
-
Gao, L.1
Kraemer, S.2
Leupers, R.3
Ascheid, G.4
Meyr, H.5
-
164
-
-
84893578149
-
A retargetable, ultra-fast instruction set simulator
-
New York, NY, USA, ACM
-
J. Zhu and D.D. Gajski. A retargetable, ultra-fast instruction set simulator. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), page 62, New York, NY, USA, 1999. ACM.
-
(1999)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 62
-
-
Zhu, J.1
Gajski, D.D.2
-
165
-
-
0036054365
-
A universal technique for fast and flexible instruction-set architecture simulation
-
A. Nohl, G. Braun, A. Hoffmann, O. Schliebusch, R. Leupers, and H. Meyr. A universal technique for fast and flexible instruction-set architecture simulation. In Proceedings of the Design Automation Conference (DAC), 2002.
-
(2002)
Proceedings of the Design Automation Conference (DAC)
-
-
Nohl, A.1
Braun, G.2
Hoffmann, A.3
Schliebusch, O.4
Leupers, R.5
Meyr, H.6
-
166
-
-
0028424965
-
Shade: A fast instruction-set simulator for execution profiling
-
New York, NY, USA, ACM
-
B. Cmelik and D. Keppel. Shade: A fast instruction-set simulator for execution profiling. In SIGMETRICS '94: Proceedings of the 1994 ACMSIGMETRICS Conference on Measurement and Modeling of Computer Systems, pages 128-137, New York, NY, USA, 1994. ACM.
-
(1994)
SIGMETRICS '94: Proceedings of the 1994 ACMSIGMETRICS Conference on Measurement and Modeling of Computer Systems
, pp. 128-137
-
-
Cmelik, B.1
Keppel, D.2
-
167
-
-
59049103677
-
A multiprocessing approach to accelerate retargetable and portable dynamic-compiled instruction-set simulation
-
New York, NY, USA, ACM
-
W. Qin, J. D'Errico, and X. Zhu. A multiprocessing approach to accelerate retargetable and portable dynamic-compiled instruction-set simulation. In Proceedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pages 193-198, New York, NY, USA, 2006. ACM.
-
(2006)
Proceedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis
, pp. 193-198
-
-
Qin, W.1
D'Errico, J.2
Zhu, X.3
-
168
-
-
0041633624
-
Instruction set compiled simulation: A technique for fast and flexible instruction set simulation
-
New York, NY, USA, ACM
-
M. Reshadi, P. Mishra, and N. Dutt. Instruction set compiled simulation: A technique for fast and flexible instruction set simulation. In DAC '03: Proceedings of the 40th Conference on Design Automation, pages 758-763, New York, NY, USA, 2003. ACM.
-
(2003)
DAC '03: Proceedings of the 40th Conference on Design Automation
, pp. 758-763
-
-
Reshadi, M.1
Mishra, P.2
Dutt, N.3
-
169
-
-
79959895300
-
High speed cpu simulation using jit binary translation
-
held in Conjunction with ISCA-34, San Diego CA, USA, June
-
N.P. Topham and D. Jones. High speed CPU simulation using JIT binary translation. In 3rd Annual Workshop on Modeling, Benchmarking and Simulation, held in Conjunction with ISCA-34, San Diego CA, USA, June 2007.
-
(2007)
3rd Annual Workshop on Modeling, Benchmarking and Simulation
-
-
Topham, N.P.1
Jones, D.2
-
170
-
-
85017060824
-
Software instrumentation
-
B. Wah, editor, Wiley, Hoboken, Dec.
-
K. Torsten, K. Kingshuk, and G. Lei. Software instrumentation. In B. Wah, editor, Wiley Encyclopedia of Computer Science and Engineering, Wiley, Hoboken, Dec. 2008.
-
(2008)
Wiley Encyclopedia of Computer Science and Engineering
-
-
Torsten, K.1
Kingshuk, K.2
Lei, G.3
-
172
-
-
80052534696
-
-
Jan.
-
The Eclipse Foundation. Eclipse IDE. http://www.eclipse.org/, Jan. 2011.
-
(2011)
Eclipse IDE
-
-
-
175
-
-
35048845634
-
Virtual architecture mapping: A systemc based methodology for architectural exploration of systemon-chip designs
-
T. Kogel, M. Doerper, T. Kempf, A.Wieferink, R. Leupers, G. Ascheid, and H. Meyr. Virtual architecture mapping: A systemc based methodology for architectural exploration of systemon-chip designs. In SAMOS, pages 138-148, 2004.
-
(2004)
SAMOS
, pp. 138-148
-
-
Kogel, T.1
Doerper, M.2
Kempf, T.3
Wieferink, A.4
Leupers, R.5
Ascheid, G.6
Meyr, H.7
-
176
-
-
84891968299
-
-
Jan.
-
Synopsys. Synopsys Innovator. http://www.synopsys.com/, Jan. 2011.
-
(2011)
Synopsys Innovator
-
-
-
178
-
-
84892108801
-
-
Jan.
-
Virtutech Simics. http://www.virtutech.com/, Jan. 2011.
-
(2011)
Virtutech Simics
-
-
-
179
-
-
84892022317
-
-
Jan.
-
VaSt Systems. http://www.vastsystems.com/, Jan. 2011.
-
(2011)
VaSt Systems
-
-
-
180
-
-
84892049163
-
-
Jan.
-
Triton Tuner. Poseidon, http://www.poseidon-systems.com/, Jan. 2011.
-
(2011)
Triton Tuner
-
-
-
182
-
-
84891994502
-
-
Jan.
-
GreenSocs. http://www.greensocs.com/, Jan. 2011.
-
(2011)
GreenSocs
-
-
-
183
-
-
84892116668
-
-
Dec.
-
M. Coppola, S. Curaba, M.D. Grammatikakis, G. Maruccia, and F. Papariello. The OCCN user manual. Technical report, Dec. 2003.
-
(2003)
The OCCN User Manual, Technical Report
-
-
Coppola, M.1
Curaba, S.2
Grammatikakis, M.D.3
Maruccia, G.4
Papariello, F.5
-
184
-
-
3042660211
-
Occn: A network-on-chip modeling and simulation framework
-
Vol.3
-
M. Coppola, S. Curaba, M.D. Grammatikakis, G. Maruccia, and F. Papariello. Occn: A network-on-chip modeling and simulation framework. In Proceedings of Design, Automation and Test in Europe Conference and Exhibition, volume 3, pages 174-179 Vol.3, 2004.
-
(2004)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition
, vol.3
, pp. 174-179
-
-
Coppola, M.1
Curaba, S.2
Grammatikakis, M.D.3
Maruccia, G.4
Papariello, F.5
-
186
-
-
0021529549
-
Practical multiprocessor scheduling algorithms for efficient parallel processing
-
H. Kasahara and S. Narita. Practical Multiprocessor Scheduling Algorithms for Efficient Parallel Processing. IEEE Trans. Comput., 33(11):1023-1029, 1984.
-
(1984)
IEEE Trans. Comput.
, vol.33
, Issue.11
, pp. 1023-1029
-
-
Kasahara, H.1
Narita, S.2
-
188
-
-
0003834102
-
-
Prentice Hall, Englewood, Cliffs, NJ, Feb.
-
E.D. Lazowska, J. Zahorjan, G.S. Graham, and K.C. Sevcik. Quantitative System Performance, Computer System Analysis Using Queuing Network Models: Computer Analysis Using Queuing Network Models. Prentice Hall, Englewood, Cliffs, NJ, Feb. 1984.
-
(1984)
Quantitative System Performance, Computer System Analysis Using Queuing Network Models: Computer Analysis Using Queuing Network Models
-
-
Lazowska, E.D.1
Zahorjan, J.2
Graham, G.S.3
Sevcik, K.C.4
-
189
-
-
0003925028
-
-
Wiley, London, 2nd ed. Oct
-
F. Baccelli, G. Cohen, G.J. Olsder, and J.-P. Quadrat. Synchronization and Linearity: An Algebra for Discrete Event Systems. Wiley, London, 2nd ed., Oct. 2001.
-
(2001)
Synchronization and Linearity: An Algebra for Discrete Event Systems
-
-
Baccelli, F.1
Cohen, G.2
Olsder, G.J.3
Quadrat, J.-P.4
-
191
-
-
0033682521
-
Real-time calculus for scheduling hard real-time systems
-
L. Thiele, S. Chakraborty, and M. Naedele. Real-time calculus for scheduling hard real-time systems. In Proceedings of the International Symposium on ISCAS 2000 Geneva, The 2000 IEEE Circuits and Systems, volume 4, pages 101-104, 2000.
-
(2000)
Proceedings of the International Symposium on ISCAS 2000 Geneva, the 2000 IEEE Circuits and Systems
, vol.4
, pp. 101-104
-
-
Thiele, L.1
Chakraborty, S.2
Naedele, M.3
-
192
-
-
84947274694
-
Embedded software in network processors-models and algorithms
-
Lake Tahoe, California, USA, Oct. Springer-Verlag
-
L. Thiele, S. Chakraborty, M. Gries, A. Maxiaguine, and J. Greutert. Embedded software in network processors-models and algorithms. In Proceedings of the First Workshop on Embedded Software (EMSOFT), pages 416-434, Lake Tahoe, California, USA, Oct. 2001. Springer-Verlag.
-
(2001)
Proceedings of the First Workshop on Embedded Software (EMSOFT)
, pp. 416-434
-
-
Thiele, L.1
Chakraborty, S.2
Gries, M.3
Maxiaguine, A.4
Greutert, J.5
-
193
-
-
0036044485
-
A framework for evaluating design tradeoffs in packet processing architectures
-
New Orleans LA, USA, June, ACM
-
L. Thiele, S. Chakraborty, M. Gries, and S. Künzli. A framework for evaluating design tradeoffs in packet processing architectures. In 39th Design Automation Conference (DAC 2002), pages 880-885, New Orleans LA, USA, June 2002. ACM.
-
(2002)
39th Design Automation Conference (DAC 2002)
, pp. 880-885
-
-
Thiele, L.1
Chakraborty, S.2
Gries, M.3
Künzli, S.4
-
194
-
-
1642373027
-
Design space exploration of network processor architectures
-
L. Thiele, S. Chakraborty, M. Gries, and S. Künzli. Design space exploration of network processor architectures. In Network Processor Design: Issues and Practices, Volume 1, pages 55-89. 2002.
-
(2002)
Network Processor Design: Issues and Practices
, vol.1
, pp. 55-89
-
-
Thiele, L.1
Chakraborty, S.2
Gries, M.3
Künzli, S.4
-
195
-
-
84893763875
-
A general framework for analysing system properties in platform-based embedded system designs
-
Munich, Germany, March, IEEE
-
S. Chakraborty, S. Künzli, and L. Thiele. A general framework for analysing system properties in platform-based embedded system designs. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), pages 190-195, Munich, Germany, March 2003. IEEE.
-
(2003)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 190-195
-
-
Chakraborty, S.1
Künzli, S.2
Thiele, L.3
-
196
-
-
70350051261
-
Bottom-up performance analysis of hw/swplatforms
-
Deventer, The Netherlands
-
K. Richter, D. Ziegenbein, M. Jersak, and R. Ernst. Bottom-up performance analysis of HW/SWplatforms. In Proceedings of the IFIP 17thWorld Computer Congress-TC10 Stream on Distributed and Parallel Embedded Systems DIPES '02, Deventer, The Netherlands, 2002 .
-
(2002)
Proceedings of the IFIP 17thWorld Computer Congress-TC10 Stream on Distributed and Parallel Embedded Systems DIPES '02
-
-
Richter, K.1
Ziegenbein, D.2
Jersak, M.3
Ernst, R.4
-
197
-
-
19344371097
-
System level performance analysis-The symta/s approach
-
R. Henia, A. Hamann, M. Jersak, R. Racu, K. Richter, and R. Ernst. System level performance analysis-The symTA/s approach. IEE Proceedings Computers and Digital Techniques, 152(2):148-166, 2005.
-
(2005)
IEE Proceedings Computers and Digital Techniques
, vol.152
, Issue.2
, pp. 148-166
-
-
Henia, R.1
Hamann, A.2
Jersak, M.3
Racu, R.4
Richter, K.5
Ernst, R.6
-
201
-
-
62949202515
-
Analytical models of communication for mpsocs
-
June
-
P. Ienne. Analytical models of communication for MPSoCs. In MPSoC'08, June 2008.
-
(2008)
MPSoC'08
-
-
Ienne, P.1
-
203
-
-
0043196791
-
Scheduling of conditional process graphs for the synthesis of embedded systems
-
P. Eles, K. Kuchcinski, Z. Peng, A. Doboli, and P. Pop. Scheduling of conditional process graphs for the synthesis of embedded systems. In Proceedings of Design, Automation and Test in Europe, pages 132-138, 1998.
-
(1998)
Proceedings of Design, Automation and Test in Europe
, pp. 132-138
-
-
Eles, P.1
Kuchcinski, K.2
Peng, Z.3
Doboli, A.4
Pop, P.5
-
204
-
-
0034289926
-
Scheduling with bus access optimization for distributed embedded systems
-
P. Eles, A. Doboli, P. Pop, and Z. Peng. Scheduling with bus access optimization for distributed embedded systems. IEEE Transactions on VLSI Systems, 8(5):472-491, 2000.
-
(2000)
IEEE Transactions on VLSI Systems
, vol.8
, Issue.5
, pp. 472-491
-
-
Eles, P.1
Doboli, A.2
Pop, P.3
Peng, Z.4
-
205
-
-
74749094234
-
Performance guarantees by simulation of process
-
New York, NY, USA, ACM
-
M. Bekooij, S. Parmar, and J. van Meerbergen. Performance guarantees by simulation of process. In SCOPES '05: Proceedings of the 2005 workshop on Software and compilers for embedded systems, pages 10-19, New York, NY, USA, 2005. ACM.
-
(2005)
SCOPES '05: Proceedings of the 2005 Workshop on Software and Compilers for Embedded Systems
, pp. 10-19
-
-
Bekooij, M.1
Parmar, S.2
Van Meerbergen, J.3
-
206
-
-
0031153459
-
Trace-driven memory simulation
-
June
-
R.A. Uhlig and T.N. Mudge. Trace-driven memory simulation. ACM Computing Surveys, 29(2):128-170, June 1997.
-
(1997)
ACM Computing Surveys
, vol.29
, Issue.2
, pp. 128-170
-
-
Uhlig, R.A.1
Mudge, T.N.2
-
211
-
-
77957799045
-
A highly efficient modeling style for heterogeneous bus architectures
-
Tampere (Finland), Nov.
-
M. Ariyamparambath, D. Bussagila, B. Reinkemeier, T. Kogel, and T. Kempf. A highly efficient modeling style for heterogeneous bus architectures. In International Symposium on System-on-Chip, Tampere (Finland), Nov. 2003.
-
(2003)
International Symposium on System-on-Chip
-
-
Ariyamparambath, M.1
Bussagila, D.2
Reinkemeier, B.3
Kogel, T.4
Kempf, T.5
-
212
-
-
1142299901
-
A modular simulation framework for architectural exploration of on-chip interconnection networks
-
Newport Beach (California USA), Oct
-
T. Kogel, M. Doerper, A. Wieferink, R. Leupers, G. Ascheid, H. Meyr, and S. Goossens. A modular simulation framework for architectural exploration of on-chip interconnection networks. In The First IEEE/ACM/IFIP International Conference on HW/SW Codesign and System Synthesis, Newport Beach (California USA), Oct. 2003.
-
(2003)
The First IEEE/ACM/IFIP International Conference on HW/SW Codesign and System Synthesis
-
-
Kogel, T.1
Doerper, M.2
Wieferink, A.3
Leupers, R.4
Ascheid, G.5
Meyr, H.6
Goossens, S.7
-
214
-
-
3042609866
-
Modeling shared resource contention using a hybrid simulation/analytical approach
-
Washington, DC, USA, IEEE Computer Society
-
A. Bobrek, J.J. Pieper, J.E. Nelson, J.M. Paul, and D.E. Thomas. Modeling shared resource contention using a hybrid simulation/analytical approach. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), page 21144, Washington, DC, USA, 2004. IEEE Computer Society.
-
(2004)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 21144
-
-
Bobrek, A.1
Pieper, J.J.2
Nelson, J.E.3
Paul, J.M.4
Thomas, D.E.5
-
217
-
-
34047113994
-
Combining simulation and formal methods for system-level performance analysis
-
3001 Leuven, Belgium, Belgium, European Design and Automation Association
-
S. Künzli, F. Poletti, L. Benini, and L. Thiele. Combining simulation and formal methods for system-level performance analysis. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), pages 236-241, 3001 Leuven, Belgium, Belgium, 2006. European Design and Automation Association.
-
(2006)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 236-241
-
-
Künzli, S.1
Poletti, F.2
Benini, L.3
Thiele, L.4
-
219
-
-
84885179042
-
-
Jan.
-
Synopsys Inc. http://www.synopsys.com, Jan. 2011.
-
(2011)
Synopsys Inc
-
-
-
223
-
-
0030679033
-
An approach for quantitative analysis of application-specific dataflow architectures
-
B. Kienhuis, E. Deprettere, K. Vissers, and P. van derWolf. An approach for quantitative analysis of application-specific dataflow architectures. In Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors, pages 338-349, 1997.
-
(1997)
Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
, pp. 338-349
-
-
Kienhuis, B.1
Deprettere, E.2
Vissers, K.3
Van Der Wolf, P.4
-
224
-
-
0344089201
-
A decade of hardware/software codesign
-
W. Wolf. A decade of hardware/software codesign. Computer, 36(4):38-43, 2003.
-
(2003)
Computer
, vol.36
, Issue.4
, pp. 38-43
-
-
Wolf, W.1
-
225
-
-
34247267792
-
Pn: A tool for improved derivation of process networks
-
S. Verdoolaege, H. Nikolov, and T. Stefanov. Pn: A tool for improved derivation of process networks. EURASIP Journal of Embedded Systems, 2007(1):19-19, 2007.
-
(2007)
EURASIP Journal of Embedded Systems
, vol.2007
, Issue.1
, pp. 19-19
-
-
Verdoolaege, S.1
Nikolov, H.2
Stefanov, T.3
-
226
-
-
13944255798
-
Casse: A system-level modeling and design-space exploration tool for multiprocessor systems-on-chip
-
Aug.
-
V. Reyes, T. Bautista, G. Marrero, P.P. Carballo, and W. Kruijtzer. CASSE: A system-level modeling and design-space exploration tool for multiprocessor systems-on-chip. In Proceedings of DSD, pages 476-483, Aug. 2004.
-
(2004)
Proceedings of DSD
, pp. 476-483
-
-
Reyes, V.1
Bautista, T.2
Marrero, G.3
Carballo, P.P.4
Kruijtzer, W.5
-
227
-
-
16244400500
-
Design and programming of embedded multiprocessors: An interface-centric approach
-
New York, NY, USA, ACM
-
P. Van Der Wolf, E. de Kock, T. Henriksson, W. Kruijtzer, and G. Essink. Design and programming of embedded multiprocessors: An interface-centric approach. In Procedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pages 206-217, New York, NY, USA, 2004. ACM.
-
(2004)
Procedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis
, pp. 206-217
-
-
Van Der Wolf, P.1
De Kock, E.2
Henriksson, T.3
Kruijtzer, W.4
Essink, G.5
-
228
-
-
34047144503
-
Case study., a unified system-level modeling and simulation environment for mpsoc design: Mpeg-4 decoder
-
3001 Leuven, Belgium, Belgium, European Design and Automation Association
-
V. Reyes, W. Kruijtzer, T. Bautista, G. Alkadi, and A. Núnez. A unified system-level modeling and simulation environment for mpsoc design: Mpeg-4 decoder case study. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), pages 474-479, 3001 Leuven, Belgium, Belgium, 2006. European Design and Automation Association.
-
(2006)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 474-479
-
-
Reyes, V.1
Kruijtzer, W.2
Bautista, T.3
Alkadi, G.4
Núnez, A.5
-
229
-
-
34547302211
-
System-level design flow based on a functional reference for hw and sw
-
New York, NY, USA, ACM
-
W. Tibboel, V. Reyes, M. Klompstra, and D. Alders. System-level design flow based on a functional reference for hw and sw. In Proceedings of the Design Automation Conference (DAC), pages 23-28, New York, NY, USA, 2007. ACM.
-
(2007)
Proceedings of the Design Automation Conference (DAC)
, pp. 23-28
-
-
Tibboel, W.1
Reyes, V.2
Klompstra, M.3
Alders, D.4
-
230
-
-
34247256989
-
Multi-processor system design with espam
-
New York, NY, USA, ACM
-
H. Nikolov, T. Stefanov, and E. Deprettere. Multi-processor system design with espam. In Proceedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pages 211-216, New York, NY, USA, 2006. ACM.
-
(2006)
Proceedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis
, pp. 211-216
-
-
Nikolov, H.1
Stefanov, T.2
Deprettere, E.3
-
231
-
-
3042610031
-
System design using kahn process networks: The compaan/laura approach
-
Washington, DC, USA, IEEE Computer Society
-
T. Stefanov, C. Zissulescu, A. Turjan, B. Kienhuis, and E. Deprettere. System design using kahn process networks: The compaan/laura approach. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), page 10340, Washington, DC, USA, 2004. IEEE Computer Society.
-
(2004)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 10340
-
-
Stefanov, T.1
Zissulescu, C.2
Turjan, A.3
Kienhuis, B.4
Deprettere, E.5
-
232
-
-
49449088727
-
Platform-based software design flow for heterogeneous mpsoc
-
K. Popovici, X. Guerin, F. Rousseau, P.S. Paolucci, and A.A. Jerraya. Platform-based software design flow for heterogeneous mpsoc. Transactions on Embedded Computing Systems, 7(4):1-23, 2008.
-
(2008)
Transactions on Embedded Computing Systems
, vol.7
, Issue.4
, pp. 1-23
-
-
Popovici, K.1
Guerin, X.2
Rousseau, F.3
Paolucci, P.S.4
Jerraya, A.A.5
-
233
-
-
85008048480
-
Automatic generation and targeting of applicationspecific operating systems and embedded systems software
-
Nov.
-
L. Gauthier, S. Yoo, and A.A. Jerraya. Automatic generation and targeting of applicationspecific operating systems and embedded systems software. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 20(11):1293-1301, Nov. 2001.
-
(2001)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.20
, Issue.11
, pp. 1293-1301
-
-
Gauthier, L.1
Yoo, S.2
Jerraya, A.A.3
-
235
-
-
49549088099
-
Automatic generation of hardware dependent software for mpsocs from abstract system specifications
-
Los Alamitos, CA, USA, IEEE Computer Society Press
-
G. Schirner, A. Gerstlauer, and R. Dömer. Automatic generation of hardware dependent software for mpsocs from abstract system specifications. In Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC), pages 271-276, Los Alamitos, CA, USA, 2008. IEEE Computer Society Press.
-
(2008)
Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC)
, pp. 271-276
-
-
Schirner, G.1
Gerstlauer, A.2
Dömer, R.3
-
236
-
-
34247229789
-
A systemc-based design methodology for digital signal processing systems
-
C. Haubelt, J. Falk, J. Keinert, T. Schlichter, M. Streubühr, A. Deyhle, A. Hadert, and J. Teich. A systemc-based design methodology for digital signal processing systems. EURASIP Journal Embedded Systems, 2007(1):15-15, 2007.
-
(2007)
EURASIP Journal Embedded Systems
, vol.2007
, Issue.1
, pp. 15-15
-
-
Haubelt, C.1
Falk, J.2
Keinert, J.3
Schlichter, T.4
Streubühr, M.5
Deyhle, A.6
Hadert, A.7
Teich, J.8
-
238
-
-
84892111867
-
-
Jan.
-
CoFluent. http://www.cofluent.com, Jan. 2011.
-
(2011)
CoFluent
-
-
-
239
-
-
34548258236
-
A framework for heterogeneous specification and design of electronic embedded systems in systemc
-
F. Herrera and E. Villar. A framework for heterogeneous specification and design of electronic embedded systems in SystemC. ACM Transactions on Design Automation of Electronic Systems, 12(3):1-31, 2007.
-
(2007)
ACM Transactions on Design Automation of Electronic Systems
, vol.12
, Issue.3
, pp. 1-31
-
-
Herrera, F.1
Villar, E.2
-
240
-
-
84893800335
-
Systemic embedded software generation from systems
-
Washington, DC, USA, IEEE Computer Society
-
F. Herrera, H. Posadas, P. Sanchez, and E. Villar. Systemic embedded software generation from systems. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), page 10142, Washington, DC, USA, 2003. IEEE Computer Society.
-
(2003)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
, pp. 10142
-
-
Herrera, F.1
Posadas, H.2
Sanchez, P.3
Villar, E.4
-
241
-
-
35048862279
-
A tutorial on uppaal
-
M. Bernardo and F. Corradini, editors, number 3185 in LNCS, Springer-Verlag, Berlin, Heidelberg, Sept.
-
G. Behrmann, A. David, and K.G. Larsen. A tutorial on uppaal. In M. Bernardo and F. Corradini, editors, 4th International School on FormalMethods for the Design of Computer, Communication, and Software Systems, number 3185 in LNCS, pages 200-236. Springer-Verlag, Berlin, Heidelberg, Sept. 2004.
-
(2004)
4th International School on FormalMethods for the Design of Computer, Communication, and Software Systems
, pp. 200-236
-
-
Behrmann, G.1
David, A.2
Larsen, K.G.3
-
242
-
-
38849138917
-
Combined approach to system level performance analysis of embedded systems
-
Salzburg, Austria
-
S. Künzli, A. Hamann, R. Ernst, and L. Thiele. Combined approach to system level performance analysis of embedded systems. In International Conference on Hardware Software Codesign CODES/ISSS, pages 63-68, Salzburg, Austria, 2007.
-
(2007)
International Conference on Hardware Software Codesign CODES/ISSS
, pp. 63-68
-
-
Künzli, S.1
Hamann, A.2
Ernst, R.3
Thiele, L.4
-
243
-
-
67349206924
-
Influence of different abstractions on the performance analysis of distributed hard real-time systems
-
June
-
S. Perathoner, E.Wandeler, L. Thiele, A. Hamann, S. Schliecker, R. Henia, R. Racu, R. Ernst, and M.G. Harbour. Influence of different abstractions on the performance analysis of distributed hard real-time systems. Design Automation for Embedded Systems, 13(1):27-49, June 2009.
-
(2009)
Design Automation for Embedded Systems
, vol.13
, Issue.1
, pp. 27-49
-
-
Perathoner, S.1
Wandeler, E.2
Thiele, L.3
Hamann, A.4
Schliecker, S.5
Henia, R.6
Racu, R.7
Ernst, R.8
Harbour, M.G.9
-
245
-
-
77956104563
-
Timed automata based analysis of embedded system architectures
-
April
-
M. Hendriks and M. Verhoef. Timed automata based analysis of embedded system architectures. In Proceedings of WPDRTS, pages 8 pp.-, April 2006.
-
(2006)
Proceedings of WPDRTS
, pp. 8
-
-
Hendriks, M.1
Verhoef, M.2
-
246
-
-
84892128093
-
-
Jan.
-
ARC International. http://www.arc.com/, Jan. 2011.
-
(2011)
ARC International
-
-
-
247
-
-
84892117070
-
-
Jan.
-
MIPS Technologies Inc., Pro Series Family. http://www.mips.com/, Jan. 2011.
-
(2011)
Pro Series Family
-
-
-
250
-
-
33646898466
-
A modular simulation framework for spatial and temporal task mapping onto multi-processor soc platforms
-
ISS Aachen, DE); T. Kogel and B. Vanthournout (CoWare Inc. BE). Munich, Germany, March
-
T. Kempf, M. Dörper, R. Leupers, G. Ascheid, and H. Meyr (ISS Aachen, DE); T. Kogel and B. Vanthournout (CoWare Inc., BE). A modular simulation framework for spatial and temporal task mapping onto multi-processor soc platforms. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, March 2005.
-
(2005)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
-
-
Kempf, T.1
Dörper, M.2
Leupers, R.3
Ascheid, G.4
Meyr, H.5
-
251
-
-
33750833563
-
A concept for waveform description based sdr implementation
-
Karlsruhe, Germany, March
-
T. Kempf, E.M. Witte, O. Schliebusch, G. Ascheid, M. Adrat, and M. Antweiler. A concept for waveform description based SDR implementation. In 4th Karlsruhe Workshop on Software Radios (WSR'06), Karlsruhe, Germany, March 2006.
-
(2006)
4th Karlsruhe Workshop on Software Radios (WSR'06)
-
-
Kempf, T.1
Witte, E.M.2
Schliebusch, O.3
Ascheid, G.4
Adrat, M.5
Antweiler, M.6
-
252
-
-
46649094812
-
Abstract, multifaceted modeling of embedded processors for system level design
-
G. Schirner, A. Gerstlauer, and R. Domer. Abstract, multifaceted modeling of embedded processors for system level design. In Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC), pages 384-389, 2007.
-
(2007)
Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC)
, pp. 384-389
-
-
Schirner, G.1
Gerstlauer, A.2
Domer, R.3
-
253
-
-
38349156293
-
Using abstract cpu subsystem simulation model for high level hw/sw architecture exploration
-
A. Bouchhima, I. Bacivarov, W. Youssef, M. Bonaciu, and A.A. Jerraya. Using abstract CPU subsystem simulation model for high level HW/SW architecture exploration. In Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC), pages 969-972, 2005.
-
(2005)
Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC)
, pp. 969-972
-
-
Bouchhima, A.1
Bacivarov, I.2
Youssef, W.3
Bonaciu, M.4
Jerraya, A.A.5
-
254
-
-
84893781814
-
Rtos modeling for system level design
-
A. Gerstlauer, H. Yu, and D.D. Gajski. RTOS modeling for system level design. In Proceedings of Design, Automation and Test in Europe Conference and Exhibition, pages 130-135, 2003.
-
(2003)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition
, pp. 130-135
-
-
Gerstlauer, A.1
Yu, H.2
Gajski, D.D.3
-
255
-
-
27944470535
-
Fine-grained application source code profiling for asip design
-
Anaheim, California, USA, June
-
K. Karuri, M.A. Al Faruque, S. Kraemer, R. Leupers, G. Ascheid, and H. Meyr. Fine-grained application source code profiling for ASIP design. In 42nd Design Automation Conference, Anaheim, California, USA, June 2005.
-
(2005)
42nd Design Automation Conference
-
-
Karuri, K.1
Al Faruque, M.A.2
Kraemer, S.3
Leupers, R.4
Ascheid, G.5
Meyr, H.6
-
256
-
-
62949218472
-
Sdr baseband processing portability: A case study
-
Washington, D.C. USA, Oct
-
T. Kempf, E.M. Witte, V. Ramakrishnan, G. Ascheid, M. Adrat, and M. Antweiler. SDR baseband processing portability: A case study. In SDR'08, Washington, D.C., USA, Oct. 2008.
-
(2008)
SDR'08
-
-
Kempf, T.1
Witte, E.M.2
Ramakrishnan, V.3
Ascheid, G.4
Adrat, M.5
Antweiler, M.6
-
257
-
-
0003736078
-
-
Prentice Hall, Englewood, Cliffs, NJ, 2nd ed. Aug
-
D.B.West. Introduction to Graph Theory. Prentice Hall, Englewood, Cliffs, NJ, 2nd ed., Aug. 2000.
-
(2000)
Introduction to Graph Theory
-
-
West, D.B.1
-
258
-
-
33750836711
-
An sdr implementation concept based on waveform description
-
Berlin
-
T. Kempf, E.M. Witte, V. Ramakrishnan, G. Ascheid, M. Adrat, and M. Antweiler. An SDR implementation concept based on waveform description. FREQUENZ: Journal of RFEngineering and Telecommunications, Berlin, (9-10), 2006.
-
(2006)
FREQUENZ: Journal of RFEngineering and Telecommunications
, Issue.9-10
-
-
Kempf, T.1
Witte, E.M.2
Ramakrishnan, V.3
Ascheid, G.4
Adrat, M.5
Antweiler, M.6
-
259
-
-
0002050141
-
Static scheduling algorithms for allocating directed task graphs to multiprocessors
-
Y.-K. Kwok and I. Ahmad. Static scheduling algorithms for allocating directed task graphs to multiprocessors. ACM Computer Survey, 31(4):406-471, 1999.
-
(1999)
ACM Computer Survey
, vol.31
, Issue.4
, pp. 406-471
-
-
Kwok, Y.-K.1
Ahmad, I.2
-
261
-
-
38849168067
-
Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor
-
New York, NY, USA, ACM
-
O. Moreira, F. Valente, and M. Bekooij. Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor. In EMSOFT '07: Proceedings of the 7th ACM and IEEE International Conference on Embedded Software, pages 57-66, New York, NY, USA, 2007. ACM.
-
(2007)
EMSOFT '07: Proceedings of the 7th ACM and IEEE International Conference on Embedded Software
, pp. 57-66
-
-
Moreira, O.1
Valente, F.2
Bekooij, M.3
-
262
-
-
85055840680
-
-
CRC Press, Inc. Boca Raton, FL, USA
-
J. Leung, L. Kelly, and J.H. Anderson. Handbook of Scheduling: Algorithms, Models, and Performance Analysis. CRC Press, Inc., Boca Raton, FL, USA, 2004.
-
(2004)
Handbook of Scheduling: Algorithms, Models, and Performance Analysis
-
-
Leung, J.1
Kelly, L.2
Anderson, J.H.3
-
263
-
-
0003663467
-
-
McGraw-Hill, NY, USA, 4 ed
-
A. Papoulis and S.U. Pillai. Probability, Random Variables and Stochastic Processes. McGraw-Hill, NY, USA, 4 ed., 2002.
-
(2002)
Probability, Random Variables and Stochastic Processes
-
-
Papoulis, A.1
Pillai, S.U.2
-
265
-
-
84892017583
-
-
Dec.
-
Poly Core Software. Poly-Messenger. www.polycoresoftware.com/, Dec. 2010.
-
(2010)
Poly-Messenger
-
-
-
266
-
-
84892125492
-
-
Jan.
-
Texas Instruments Inc. Dsp bios kernel. http://focus.ti.com/, Jan. 2011.
-
(2011)
Dsp Bios Kernel
-
-
-
267
-
-
62949130792
-
A workbench for analytical and simulation based design space exploration of software defined radios
-
RWTH Aachen University., New Delhi, India, Jan
-
T. Kempf, S. Wallentowitz, G. Ascheid, R. Leupers, and H. Meyr. RWTH Aachen University. A workbench for analytical and simulation based design space exploration of software defined radios. In VLSI Design Conference 2009, New Delhi, India, Jan. 2009.
-
(2009)
VLSI Design Conference 2009
-
-
Kempf, T.1
Wallentowitz, S.2
Ascheid, G.3
Leupers, R.4
Meyr, H.5
-
269
-
-
34047171568
-
A sw performance estimation framework for early system-level-design using fine-grained instrumentation
-
Munich, Germany, March
-
T. Kempf, K. Karuri, S. Wallentowitz, G. Ascheid, R. Leupers, and H. Meyr. A SW performance estimation framework for early System-Level-Design using fine-grained instrumentation. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, March 2006.
-
(2006)
Proceedings of the International Conference on Design, Automation and Test in Europe (DATE)
-
-
Kempf, T.1
Karuri, K.2
Wallentowitz, S.3
Ascheid, G.4
Leupers, R.5
Meyr, H.6
-
272
-
-
64549091428
-
Automatic instrumentation of embedded software for high level hardware/software co-simulation
-
Piscataway, NJ, USA, IEEE
-
A. Bouchhima, P. Gerin, and F. Pétrot. Automatic instrumentation of embedded software for high level hardware/software co-simulation. In Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC), pages 546-551, Piscataway, NJ, USA, 2009. IEEE.
-
(2009)
Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC)
, pp. 546-551
-
-
Bouchhima, A.1
Gerin, P.2
Pétrot, F.3
-
273
-
-
0004193866
-
-
Prentice Hall, Upper Saddle River, NJ, 2nd ed
-
A.S. Tanenbaum. Modern Operating Systems. Prentice Hall, Upper Saddle River, NJ, 2nd ed. 2001.
-
(2001)
Modern Operating Systems
-
-
Tanenbaum, A.S.1
-
276
-
-
84856623337
-
-
Jan.
-
Linux Kernel. http://www.kernel.org/, Jan. 2011.
-
(2011)
Linux Kernel
-
-
-
279
-
-
79952922884
-
Using mpi-portable parallel programming with the message-passing interface
-
E. Lusk, W. Gropp, and A. Skjellum. Using mpi-portable parallel programming with the message-passing interface. Science Programme, 5(3):275-276, 1996.
-
(1996)
Science Programme
, vol.5
, Issue.3
, pp. 275-276
-
-
Lusk, E.1
Gropp, W.2
Skjellum, A.3
-
282
-
-
52249114717
-
Virtual architecture mapping: A systemc based methodology for architectural exploration of system-on-chips
-
T. Kogel, M. Doerper, T. Kempf, A. Wieferink, R. Leupers, and H. Meyr. Virtual architecture mapping: A systemc based methodology for architectural exploration of system-on-chips. In IJES, Vol. 3, Nr. 3, pages 150-159, 2008.
-
(2008)
IJES
, vol.3
, Issue.3
, pp. 150-159
-
-
Kogel, T.1
Doerper, M.2
Kempf, T.3
Wieferink, A.4
Leupers, R.5
Meyr, H.6
-
283
-
-
84892056598
-
American national standards institute, international organization for standardization, international electrotechnical commission, and iso/iec jtc 1
-
ANSI/ISO 9899-1990
-
H. Schildt, American national standards institute, international organization for standardization, international electrotechnical commission, and ISO/IEC JTC 1. The Annotated ANSI C Standard: American National Standard for Programming Languages C: ANSI/ISO 9899-1990. 1990.
-
(1990)
The Annotated ANSI C Standard: American National Standard for Programming Languages C
-
-
Schildt, H.1
-
286
-
-
84891992293
-
-
Jan.
-
Zeligsoft. http://www.zeligsoft.com/, Jan. 2011.
-
(2011)
Zeligsoft
-
-
-
287
-
-
84892003381
-
-
Jan.
-
Communications Research Centre Canada (CRC). Scari Software Suite, http://www.crc.gc.ca/, Jan. 2011.
-
(2011)
Scari Software Suite
-
-
-
288
-
-
84892012259
-
A workbench for waveform description based sdr implementation
-
Denver, USA, Nov
-
T. Kempf, E.M. Witte, V. Ramakrishnan, G. Ascheid, M. Adrat, and M. Antweiler. A Workbench for Waveform Description based SDR Implementation. In 2007 Software Defined Radio Technical Conference (SDR'07), Denver, USA, Nov. 2007.
-
(2007)
2007 Software Defined Radio Technical Conference (SDR'07)
-
-
Kempf, T.1
Witte, E.M.2
Ramakrishnan, V.3
Ascheid, G.4
Adrat, M.5
Antweiler, M.6
-
295
-
-
84892067575
-
An executable intermediate representation for retargetable compilation and high-level code optimization
-
M. Hohenauer, R. Leupers, O. Wahlen, et al. An executable intermediate representation for retargetable compilation and high-level code optimization. In International Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS), 2003.
-
(2003)
International Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS)
-
-
Hohenauer, M.1
Leupers, R.2
Wahlen, O.3
-
296
-
-
72149130914
-
Totalprof: A fast and accurate retargetable source code profiler
-
Grenoble, France
-
L. Gao, J. Huang, J. Ceng, R. Leupers, G. Ascheid, and H. Meyr. TotalProf: A fast and accurate retargetable source code profiler. In International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS 2009), Grenoble, France, 2009.
-
(2009)
International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS 2009)
-
-
Gao, L.1
Huang, J.2
Ceng, J.3
Leupers, R.4
Ascheid, G.5
Meyr, H.6
-
297
-
-
84892053260
-
Automatic timing annotation of native software for mpsoc simulation
-
June
-
F. Petrot. Automatic timing annotation of native software for mpsoc simulation. In MPSoC' 08, June 2008.
-
(2008)
MPSoC' 08
-
-
Petrot, F.1
-
298
-
-
84875379930
-
-
Jan.
-
The MathWorks Inc. MATLAB. http://www.mathworks.com/, Jan. 2011.
-
(2011)
MATLAB
-
-
-
299
-
-
84891975907
-
-
MIL-STD-188-110B DePARTement of Defense Interface Standard. April 2000
-
MIL-STD-188-110B DePARTement of Defense Interface Standard. April 2000.
-
-
-
-
300
-
-
0003634013
-
-
Wiley, New York, Feb
-
H. Meyr, M. Moeneclaey, and S.A. Fechtel. Digital Communication Receivers: Synchronization, Channel Estimation and Signal Processing. Wiley, New York, Feb. 1997.
-
(1997)
Digital Communication Receivers: Synchronization, Channel Estimation and Signal Processing
-
-
Meyr, H.1
Moeneclaey, M.2
Fechtel, S.A.3
-
302
-
-
84935113569
-
Error bounds for convolutional codes and an asymptotically optimum decoding algorithm
-
A. Viterbi. Error bounds for convolutional codes and an asymptotically optimum decoding algorithm. IEEE Transactions on Information Theory, 13(2):260-269, 1967.
-
(1967)
IEEE Transactions on Information Theory
, vol.13
, Issue.2
, pp. 260-269
-
-
Viterbi, A.1
-
303
-
-
0030257652
-
Near optimum error correcting coding and decoding: Turbocodes
-
Oct.
-
C. Berrou and A. Glavieux. Near optimum error correcting coding and decoding: Turbocodes. IEEE Transactions on Communications, 44(10):1261-1271, Oct. 1996.
-
(1996)
IEEE Transactions on Communications
, vol.44
, Issue.10
, pp. 1261-1271
-
-
Berrou, C.1
Glavieux, A.2
|