-
1
-
-
27944476890
-
Circuit optimization using statistical static timing analysis
-
19.3, Proceedings 2005, 42nd Design Automation Conference, DAC 2005
-
A. Agarwal, K. Chopra, D. Blaauw, and V. Zolotov, "Circuit optimization using statistical static timing analysis," in Proc. Des. Automat. Conf., 2005, pp. 321-324. (Pubitemid 41675453)
-
(2005)
Proceedings - Design Automation Conference
, pp. 321-324
-
-
Agarwal, A.1
Chopra, K.2
Blaauw, D.3
Zolotov, V.4
-
2
-
-
20344383519
-
CVD growth of single-walled carbon nanotubes with narrow diameter distribution over Fe/MgO catalyst and their fluorescence spectroscopy
-
DOI 10.1021/jp050307q
-
H. Ago, S. Imamura, T. Okazaki, T. Saito, M. Yumura, and M. Tsuji, "CVD growth of single-walled carbon nanotubes with narrow diameter distribution over Fe/MgO catalyst and their fluorescence spectroscopy," J. Phys. Chem. B, vol. 109, no. 20, pp. 10035-10041, May 2005. (Pubitemid 40786067)
-
(2005)
Journal of Physical Chemistry B
, vol.109
, Issue.20
, pp. 10035-10041
-
-
Ago, H.1
Imamura, S.2
Okazaki, T.3
Saito, T.4
Yumura, M.5
Tsuji, M.6
-
3
-
-
58149269497
-
Analytical ballistic theory of carbon nanotube transistors: Experimental validation, device physics, parameter extraction, and performance projection
-
D. Akinwande, J. Liang, S. Chong, Y. Nishi, and H.-S. P. Wong, "Analytical ballistic theory of carbon nanotube transistors: Experimental validation, device physics, parameter extraction, and performance projection," J. Appl. Phys., vol. 104, no. 12, pp. 1-7, 2008.
-
(2008)
J. Appl. Phys.
, vol.104
, Issue.12
, pp. 1-7
-
-
Akinwande, D.1
Liang, J.2
Chong, S.3
Nishi, Y.4
Wong, H.-S.P.5
-
4
-
-
39549093276
-
Carbon nanotubes for high-performance electronicsprogress and prospect
-
Feb.
-
J. Appenzeller "Carbon nanotubes for high-performance electronicsprogress and prospect," Proc. IEEE, vol. 96, no. 2, pp. 201-211, Feb. 2008.
-
(2008)
Proc. IEEE
, vol.96
, Issue.2
, pp. 201-211
-
-
Appenzeller, J.1
-
5
-
-
77955734442
-
Design methodology for carbon nanotube based circuits in the presence of metallic tubes
-
R. Ashraf, R. K. Nain, M. Chrzanowska-Jeske, and S. G. Narendra, "Design methodology for carbon nanotube based circuits in the presence of metallic tubes," in Proc. Int. Symp. Nanoscale Architect., 2010, pp. 71-76.
-
(2010)
Proc. Int. Symp. Nanoscale Architect.
, pp. 71-76
-
-
Ashraf, R.1
Nain, R.K.2
Chrzanowska-Jeske, M.3
Narendra, S.G.4
-
6
-
-
34948858511
-
Carbon-based electronics
-
DOI 10.1038/nnano.2007.300, PII NNANO2007300
-
P. Avouris, Z. Chen, and V. Perebeinos, "Carbon-based electronics," Nat. Nanotechnol., vol. 2, no. 10, pp. 605-615, 2007. (Pubitemid 47525190)
-
(2007)
Nature Nanotechnology
, vol.2
, Issue.10
, pp. 605-615
-
-
Avouris, P.1
Chen, Z.2
Perebeinos, V.3
-
7
-
-
0035834444
-
Logic circuits with carbon nanotube transistors
-
DOI 10.1126/science.1065824
-
A. Bachtold, P. Hadley, T. Nakanishi, and C. Dekker, "Logic circuits with carbon nanotube transistors," Science, vol. 294, no. 5545, pp. 1317-1320, 2001. (Pubitemid 33063092)
-
(2001)
Science
, vol.294
, Issue.5545
, pp. 1317-1320
-
-
Bachtold, A.1
Hadley, P.2
Nakanishi, T.3
Dekker, C.4
-
8
-
-
37049005375
-
Compact modeling of carbon nanotube transistor for early stage process-design exploration
-
DOI 10.1145/1283780.1283783, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
A. Balijepalli, S. Sinha, and Y. Cao, "Compact modeling of carbon nanotube transistor for early stage process-design exploration," in Proc. Int. Symp. Low Power Electron. Des., Aug. 2007, pp. 2-7. (Pubitemid 350244530)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 2-7
-
-
Balijepalli, A.1
Sinha, S.2
Cao, Y.3
-
9
-
-
0032650608
-
On thermal effects in deep sub-micron VLSI interconnects
-
K. Banerjee, A. Mehrotra, A. Sangiovanni-Vincentelli, and C. Hu, "On thermal effects in deep sub-micron VLSI interconnects," in Proc. Des. Automat. Conf., 1999, pp. 885-891.
-
(1999)
Proc. Des. Automat. Conf.
, pp. 885-891
-
-
Banerjee, K.1
Mehrotra, A.2
Sangiovanni-Vincentelli, A.3
Hu, C.4
-
10
-
-
77952369510
-
Advances in 3D CMOS sequential integration
-
Dec.
-
P. Batude, M. Vinet, A. Pouydebasque, C. L. Royer, B. Previtali, C. Tabone, J.-M. Hartmann, L. Sanchez, L. Baud, V. Carron, A. Toffoli, F. Allain, V. Mazzocchi, D. Lafond, O. Thomas, O. Cueto, N. Bouzaida, D. Fleury, A. Amara, S. Deleonibus, and O. Faynot, "Advances in 3D CMOS sequential integration," in Proc. Int. Electron Devices Meet., Dec. 2009, pp. 1-4.
-
(2009)
Proc. Int. Electron Devices Meet.
, pp. 1-4
-
-
Batude, P.1
Vinet, M.2
Pouydebasque, A.3
Royer, C.L.4
Previtali, B.5
Tabone, C.6
Hartmann, J.-M.7
Sanchez, L.8
Baud, L.9
Carron, V.10
Toffoli, A.11
Allain, F.12
Mazzocchi, V.13
Lafond, D.14
Thomas, O.15
Cueto, O.16
Bouzaida, N.17
Fleury, D.18
Amara, A.19
Deleonibus, S.20
Faynot, O.21
more..
-
11
-
-
66549104212
-
Design of compact imperfection-immune CNFET layouts for standard-cellbased logic synthesis
-
Apr.
-
S. Bobba, J. Zhang, A. Pullini, D. Atienza, and G. D. Micheli, "Design of compact imperfection-immune CNFET layouts for standard-cellbased logic synthesis," in Proc. Des., Automat. Test Eur., Apr. 2009, pp. 616-621.
-
(2009)
Proc. Des., Automat. Test Eur.
, pp. 616-621
-
-
Bobba, S.1
Zhang, J.2
Pullini, A.3
Atienza, D.4
Micheli, G.D.5
-
12
-
-
79952936919
-
CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits
-
S. Bobba, A. Chakraborty, O. Thomas, P. Batude, T. Ernst, O. Faynot, D. Z. Pan, and G. D. Micheli, "CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits," in Proc. Asia South Pacific Des. Automat. Conf., 2011, pp. 337-343.
-
(2011)
Proc. Asia South Pacific Des. Automat. Conf.
, pp. 337-343
-
-
Bobba, S.1
Chakraborty, A.2
Thomas, O.3
Batude, P.4
Ernst, T.5
Faynot, O.6
Pan, D.Z.7
Micheli, G.D.8
-
13
-
-
0032592096
-
Design challenges of technology scaling
-
Jul. -Aug.
-
S. Borkar, "Design challenges of technology scaling," IEEE Micro, vol. 19, no. 4, pp. 23-29, Jul.-Aug. 1999.
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
14
-
-
69649091286
-
-
U.S. Patent Applicat. 20070155065
-
S. Borkar, A. Keshavarzi, J. K. Kurtin, and K. Vivek, "Statistical circuit design with carbon nanotubes," U.S. Patent Applicat. 20070155065, 2005.
-
(2005)
Statistical Circuit Design with Carbon Nanotubes
-
-
Borkar, S.1
Keshavarzi, A.2
Kurtin, J.K.3
Vivek, K.4
-
15
-
-
71049151212
-
3D integration for energy efficient system design
-
S. Borkar, "3D integration for energy efficient system design," in Proc. Symp. VLSI Tech., 2009, pp. 58-59.
-
(2009)
Proc. Symp. VLSI Tech.
, pp. 58-59
-
-
Borkar, S.1
-
16
-
-
58549090446
-
Random networks and aligned arrays of single-walled carbon nanotubes for electronic device applications
-
Q. Cao and J. A. Rogers, "Random networks and aligned arrays of single-walled carbon nanotubes for electronic device applications," Nano Res., vol. 1, no. 4, pp. 259-272, 2008.
-
(2008)
Nano Res.
, vol.1
, Issue.4
, pp. 259-272
-
-
Cao, Q.1
Rogers, J.A.2
-
17
-
-
84855463133
-
Low-resistance electrical contact to carbon nanotubes with graphitic interfacial layer
-
Jan.
-
Y. Chai, A. Hazeghi, K. Takei, H.-Y. Chen, P. C. H. Chan, A. Javey, and H.-S. P. Wong, "Low-resistance electrical contact to carbon nanotubes with graphitic interfacial layer," IEEE Trans. Elec. Dev., vol. 59, no. 1, pp. 12-19, Jan. 2012.
-
(2012)
IEEE Trans. Elec. Dev.
, vol.59
, Issue.1
, pp. 12-19
-
-
Chai, Y.1
Hazeghi, A.2
Takei, K.3
Chen, H.-Y.4
Chan, P.C.H.5
Javey, A.6
Wong, H.-S.P.7
-
18
-
-
15844407150
-
Benchmarking nanotechnology for high-performance and low-power logic transistor applications
-
DOI 10.1109/TNANO.2004.842073
-
R. Chau, S. Datta, M. Doczy, B. Doyle, B. Jin, J. Kavalieros, A. Majumdar, M. Metz, and M. Radosavljevic, "Benchmarking nanotechnology for high-performance and low-power logic transistor applications," IEEE Trans. Nanotechnol., vol. 4, no. 2, pp. 153-158, Mar. 2005. (Pubitemid 40421651)
-
(2005)
IEEE Transactions on Nanotechnology
, vol.4
, Issue.2
, pp. 153-158
-
-
Chau, R.1
Datta, S.2
Doczy, M.3
Doyle, B.4
Jin, B.5
Kavalieros, J.6
Majumdar, A.7
Metz, M.8
Radosavljevic, M.9
-
19
-
-
23144462910
-
The role of metal-nanotube contact in the performance of carbon nanotube field-effect transistors
-
DOI 10.1021/nl0508624
-
Z. Chen, J. Appenzeller, J. Knoch, Y. M. Lin, and P. Avouris, "The role of metal-nanotube contact in the performance of carbon nanotube field-effect transistors," Nano Lett., vol. 5, no. 7, pp. 1497-1502, Jul. 2005. (Pubitemid 41084442)
-
(2005)
Nano Letters
, vol.5
, Issue.7
, pp. 1497-1502
-
-
Chen, Z.1
Appenzeller, J.2
Knoch, J.3
Lin, Y.-M.4
Avouris, P.5
-
20
-
-
33645223262
-
An integrated logic circuit assembled on a single carbon nanotube
-
Mar.
-
Z. Chen, J. Appenzeller, Y.-M. Lin, J. Sippel-Oakley, A. G. Rinzler, J. Tang, S. J. Wind, P. M. Solomon, and P. Avouris, "An integrated logic circuit assembled on a single carbon nanotube," Science, vol. 311, no. 5768, p. 1735, Mar. 2006.
-
(2006)
Science
, vol.311
, Issue.5768
, pp. 1735
-
-
Chen, Z.1
Appenzeller, J.2
Lin, Y.-M.3
Sippel-Oakley, J.4
Rinzler, A.G.5
Tang, J.6
Wind, S.J.7
Solomon, P.M.8
Avouris, P.9
-
21
-
-
39549121990
-
Externally assembled gate-all-around carbon nanotube field-effect transistor
-
DOI 10.1109/LED.2007.914069
-
Z. Chen, D. Farmer, S. Xu, R. Gordon, P. Avouris, and J. Appenzeller, "Externally assembled gate-all-around carbon nanotube field-effect transistor," Electron Device Lett., vol. 29, no. 2, pp. 183-185, Feb. 2008. (Pubitemid 351280076)
-
(2008)
IEEE Electron Device Letters
, vol.29
, Issue.2
, pp. 183-185
-
-
Chen, Z.1
Farmer, D.2
Xu, S.3
Gordon, R.4
Avouris, P.5
Appenzeller, J.6
-
22
-
-
84859046253
-
STC: Single-tube characterization methodology for experimental and analytical evaluation of carbon nanotube synthesis
-
no. C-1-4
-
H. Chen et al., "STC: Single-tube characterization methodology for experimental and analytical evaluation of carbon nanotube synthesis," in Proc. Int. Conf. Solid State Devices Mater., 2011, pp. no. C-1-4.
-
(2011)
Proc. Int. Conf. Solid State Devices Mater.
-
-
Chen, H.1
-
23
-
-
40449094185
-
A 1 GHz integrated circuit with carbon nanotube interconnects and silicon transistors
-
DOI 10.1021/nl0730965
-
G. Close, S. Yasuda, B. Paul, S. Fujita, and H. S. Wong, "A 1 GHz integrated circuit with carbon nanotube interconnects and silicon transistors," Nano Lett., vol. 8, no. 2, pp. 706-709, Feb. 2008. (Pubitemid 351346043)
-
(2008)
Nano Letters
, vol.8
, Issue.2
, pp. 706-709
-
-
Close, G.F.1
Yasuda, S.2
Paul, B.3
Fujita, S.4
Wong, H.-S.P.5
-
24
-
-
0025575976
-
Silicon-on-insulator 'gate-all-around device'
-
J. P. Colinge, M. H. Gao, A. Romano-Rodriguez, H. Maes, and C. Clae, "Silicon-on-insulator 'gate-all-around device'," in Proc. Int. Electron Devices Meet., 1990, pp. 595-598.
-
(1990)
Proc. Int. Electron Devices Meet.
, pp. 595-598
-
-
Colinge, J.P.1
Gao, M.H.2
Romano-Rodriguez, A.3
Maes, H.4
Clae, C.5
-
25
-
-
0035957717
-
Engineering carbon nanotubes and nanotube circuits using electrical breakdown
-
DOI 10.1126/science.1058782
-
P. Collins, S. Arnold, and P. Avouris, "Engineering carbon nanotubes and nanotube circuits using electrical breakdown," Science, vol. 292, no. 5517, pp. 706-709, 2001. (Pubitemid 32385537)
-
(2001)
Science
, vol.292
, Issue.5517
, pp. 706-709
-
-
Collins, P.G.1
Arnold, M.S.2
Avouris, P.3
-
27
-
-
46649110782
-
Thermal-aware 3D IC placement via transformation
-
Jan.
-
J. Cong, G. Luo, J. Wei, and Y. Zhang, "Thermal-aware 3D IC placement via transformation," in Proc. Asia South Pacific Des. Automat. Conf., Jan. 2007, pp. 780-785.
-
(2007)
Proc. Asia South Pacific Des. Automat. Conf.
, pp. 780-785
-
-
Cong, J.1
Luo, G.2
Wei, J.3
Zhang, Y.4
-
28
-
-
0004291893
-
-
London U.K.: Methuen &x Co
-
D. R. Cox, Renewal Theory. London, U.K.: Methuen & Co., 1962.
-
(1962)
Renewal Theory
-
-
Cox, D.R.1
-
29
-
-
0036924402
-
Carbon nanotubes: Synthesis, integration, and properties
-
H. Dai, "Carbon nanotubes: Synthesis, integration, and properties," Acc. Chem. Res., vol. 35, no. 12, pp. 1035-1044, 2002.
-
(2002)
Acc. Chem. Res.
, vol.35
, Issue.12
, pp. 1035-1044
-
-
Dai, H.1
-
31
-
-
44249121283
-
Variability driven gate sizing for binning yield optimization
-
DOI 10.1109/TVLSI.2008.2000252, 4509489
-
A. Davoodi and A. Srivastava, "Variability driven gate sizing for binning yield optimization," IEEE Trans. Very Large Scale Integr. Syst., vol. 16, no. 6, pp. 683-692, Jun. 2008. (Pubitemid 351720887)
-
(2008)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.16
, Issue.6
, pp. 683-692
-
-
Davoodi, A.1
Srivastava, A.2
-
32
-
-
24344437274
-
Seven strategies for tolerating highly defective fabrication
-
DOI 10.1109/MDT.2005.94
-
A. DeHon and H. Naeimi, "Seven strategies for tolerating highly defective fabrication," IEEE Des. Test Comput., vol. 22, no. 4, pp. 306-315, Jul.-Aug. 2005. (Pubitemid 41249776)
-
(2005)
IEEE Design and Test of Computers
, vol.22
, Issue.4
, pp. 306-315
-
-
DeHon, A.1
Naeimi, H.2
-
33
-
-
34548848512
-
Carbon nanotube transistor circuits: Circuit-level performance benchmarking and design options for living with imperfections
-
Feb.
-
J. Deng, N. Patil, K. Ryu, A. Badmaev, C. Zhou, S. Mitra, and H.-S. P. Wong, "Carbon nanotube transistor circuits: Circuit-level performance benchmarking and design options for living with imperfections," in Proc. Int. Solid-State Circuits Conf., Feb. 2007, pp. 70-588.
-
(2007)
Proc. Int. Solid-State Circuits Conf.
, pp. 70-588
-
-
Deng, J.1
Patil, N.2
Ryu, K.3
Badmaev, A.4
Zhou, C.5
Mitra, S.6
Wong, H.-S.P.7
-
34
-
-
36849067875
-
A compact SPICE model for carbon-nanotube field-effect transistors including nonidealities and its application - Part I: Model of the intrinsic channel region
-
DOI 10.1109/TED.2007.909030
-
J. Deng and H.-S. P. Wong, "A compact SPICE model for carbon nanotube field effect transistors including non-idealities and its applicationpart I: Model of the intrinsic channel region," IEEE Trans. Elec. Dev., vol. 54, no. 12, pp. 3186-3194, Dec. 2007. (Pubitemid 350225926)
-
(2007)
IEEE Transactions on Electron Devices
, vol.54
, Issue.12
, pp. 3186-3194
-
-
Deng, J.1
Wong, H.-S.P.2
-
35
-
-
36849074165
-
A compact SPICE model for carbon-nanotube field-effect transistors including nonidealities and its application - Part II: Full device model and circuit performance benchmarking
-
DOI 10.1109/TED.2007.909043
-
J. Deng and H. S. P. Wong, "A compact SPICE model for carbonnanotube field-effect transistors including nonidealities and its application-part II: Full device model and circuit performance benchmarking," IEEE Trans. Elec. Dev., vol. 54, no. 12, pp. 3195-3205, Dec. 2007. (Pubitemid 350225927)
-
(2007)
IEEE Transactions on Electron Devices
, vol.54
, Issue.12
, pp. 3195-3205
-
-
Deng, J.1
Wong, H.-S.P.2
-
36
-
-
33748532147
-
Optimizing CMOS technology for maximum performance
-
DOI 10.1147/rd.504.0419
-
D. J. Frank, W. Haensch, G. Shahidi, and O. H. Dokumaci, "Optimizing CMOS technology for maximum performance," IBM J. Res. Develop., vol. 50, nos. 4-5, pp. 419-431, 2006. (Pubitemid 44364162)
-
(2006)
IBM Journal of Research and Development
, vol.50
, Issue.4-5
, pp. 419-431
-
-
Frank, D.J.1
Haensch, W.2
Shahidi, G.3
Dokumaci, O.H.4
-
37
-
-
77954144011
-
Current scaling in aligned carbon nanotube array transistors with local bottom gating
-
Jul.
-
A. D. Franklin, A. Lin, H.-S. P. Wong, and Z. Chen, "Current scaling in aligned carbon nanotube array transistors with local bottom gating," Electron Device Lett., vol. 31, no. 7, pp. 644-646, Jul. 2010.
-
(2010)
Electron Device Lett.
, vol.31
, Issue.7
, pp. 644-646
-
-
Franklin, A.D.1
Lin, A.2
Wong, H.-S.P.3
Chen, Z.4
-
38
-
-
84856985947
-
Sub-10 nm carbon nanotube transistor
-
A. D. Franklin, S.-J. Han, G. S. Tulevski, M. Luisier, C. M. Breslin, L. Gignac, M. S. Lundstrom, and W. Haensch, "Sub-10 nm carbon nanotube transistor," in Proc. Int. Electron Devices Meet., 2011, pp. 525-527.
-
(2011)
Proc. Int. Electron Devices Meet.
, pp. 525-527
-
-
Franklin, A.D.1
Han, S.-J.2
Tulevski, G.S.3
Luisier, M.4
Breslin, C.M.5
Gignac, L.6
Lundstrom, M.S.7
Haensch, W.8
-
39
-
-
44949231331
-
Computationally efficient physics-based compact CNTFET model for circuit design
-
DOI 10.1109/TED.2008.922494
-
S. Fŕegońese, H. C. d'Honincthun, J. Goguet, C. Maneux, T. Zimmer, J.-P. Bourgoin, P. Dollfus, and S. Galdin-Retailleau, "Computationally efficient physics-based compact CNTFET model for circuit design," IEEE Trans. Electron Devices, vol. 55, no. 6, pp. 1317-1327, Jun. 2008. (Pubitemid 351816731)
-
(2008)
IEEE Transactions on Electron Devices
, vol.55
, Issue.6
, pp. 1317-1327
-
-
Fregonese, S.1
Cazin D'Honincthun, H.2
Goguet, J.3
Maneux, C.4
Zimmer, T.5
Bourgoin, J.-P.6
Dollfus, P.7
Galdin-Retailleau, S.8
-
40
-
-
0343183132
-
Crossed nanotube junctions
-
DOI 10.1126/science.288.5465.494
-
M. S. Fuhrer, J. Nygard, L. Shih, M. Forero, Y.-G. Yoon, M. S. C. Mazzoni, H. J. Choi, J. Ihm, S. G. Louie, A. Zettl, and P. L. McEuen, "Crossed nanotube junctions," Science, vol. 288, no. 5465, pp. 494-497, Apr. 2000. (Pubitemid 30236027)
-
(2000)
Science
, vol.288
, Issue.5465
, pp. 494-497
-
-
Fuhrer, M.S.1
Nygard, J.2
Shih, L.3
Forero, M.4
Yoon, Y.-G.5
Mazzoni, M.S.C.6
Choi, H.J.7
Ihm, J.8
Louie, S.G.9
Zettl, A.10
McEuen, P.L.11
-
41
-
-
0034845496
-
NanoFabrics: Spatial computing using molecular electronics
-
S. C. Goldstein and M. Budiu, "NanoFabrics: Spatial computing using molecular electronics," in Proc. Int. Symp. Comput. Architect., 2001, pp. 178-191.
-
(2001)
Proc. Int. Symp. Comput. Architect.
, pp. 178-191
-
-
Goldstein, S.C.1
Budiu, M.2
-
42
-
-
79955987859
-
Performance projections for ballistic carbon nanotube field-effect transistors
-
Apr.
-
J. Guo, M. Lundstrom, and S. Datta, "Performance projections for ballistic carbon nanotube field-effect transistors," Appl. Phys. Lett., vol. 80, no. 17, pp. 3192-3194, Apr. 2002.
-
(2002)
Appl. Phys. Lett.
, vol.80
, Issue.17
, pp. 3192-3194
-
-
Guo, J.1
Lundstrom, M.2
Datta, S.3
-
43
-
-
21644440311
-
Performance analysis and design optimization of near ballistic carbon nanotube field-effect transistors
-
Technical Digest - IEEE International Electron Devices Meeting, 2004 IEDM (50th Annual Meeting)
-
J. Guo, A. Javey, H. Dai, and M. Lundstrom, "Performance analysis and design optimization of near ballistic carbon nanotube fieldeffect transistors," in Proc. Int. Electron Devices Meet., Dec. 2004, pp. 703-706. (Pubitemid 40928390)
-
(2004)
Technical Digest - International Electron Devices Meeting, IEDM
, pp. 703-706
-
-
Guo, J.1
Javey, A.2
Dai, H.3
Lundstrom, M.4
-
44
-
-
33751408241
-
Gate sizing using incremental parameterized statistical timing analysis
-
M. R. Guthaus, N. Venkateswarant, C. Visweswariaht, and V. Zolotov, "Gate sizing using incremental parameterized statistical timing analysis," in Proc. Int. Conf. Comput. Aided Des., 2005, pp. 1029-1036.
-
(2005)
Proc. Int. Conf. Comput. Aided Des.
, pp. 1029-1036
-
-
Guthaus, M.R.1
Venkateswarant, N.2
Visweswariaht, C.3
Zolotov, V.4
-
45
-
-
0036375783
-
Crosstalk noise optimization by post-layout transistor sizing
-
M. Hashimoto, M. Takahashi, and H. Onodera, "Crosstalk noise optimization by post layout transistor sizing," in Proc. Int. Symp. Phys. Des., 2002, pp. 126-130. (Pubitemid 35040034)
-
(2002)
Proceedings of the International Symposium on Physical Design
, pp. 126-130
-
-
Hashimoto, M.1
Takahashi, M.2
Onodera, H.3
-
46
-
-
70350569078
-
Carbon nanotubes: Thermal properties
-
New York: Marcel Dekker
-
J. Hone, "Carbon nanotubes: Thermal properties," in Encyclopedia of Nanoscience and Nanotechnology. New York: Marcel Dekker, 2004, pp. 603-610.
-
(2004)
Encyclopedia of Nanoscience and Nanotechnology
, pp. 603-610
-
-
Hone, J.1
-
47
-
-
77951520333
-
Improved density in aligned arrays of single-walled carbon nanotubes by sequential chemical vapor deposition on quartz
-
S. W. Hong, T. Banks, and J. A. Rogers, "Improved density in aligned arrays of single-walled carbon nanotubes by sequential chemical vapor deposition on quartz," Adv. Mater., vol. 22, no. 45, pp. 1826-1830, 2010.
-
(2010)
Adv. Mater.
, vol.22
, Issue.45
, pp. 1826-1830
-
-
Hong, S.W.1
Banks, T.2
Rogers, J.A.3
-
48
-
-
33847708700
-
Scaling, power, and the future of CMOS
-
Dec.
-
M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, and K. Bernstein, "Scaling, power, and the future of CMOS," in Proc. Int. Electron. Devices Meet., Dec. 2005, pp. 7-15.
-
(2005)
Proc. Int. Electron. Devices Meet.
, pp. 7-15
-
-
Horowitz, M.1
Alon, E.2
Patil, D.3
Naffziger, S.4
Kumar, R.5
Bernstein, K.6
-
51
-
-
14744272771
-
High performance nanotube n-FETs with chemically doped contacts
-
A. Javey, R. Tu, D. B. Farmer, J. Guo, R. G. Gordon, and H. Dai, "High performance nanotube n-FETs with chemically doped contacts," Nano Lett., vol. 5, no. 2, pp. 345-348, 2005.
-
(2005)
Nano Lett.
, vol.5
, Issue.2
, pp. 345-348
-
-
Javey, A.1
Tu, R.2
Farmer, D.B.3
Guo, J.4
Gordon, R.G.5
Dai, H.6
-
52
-
-
57149119644
-
Carbon nanotube synthesis and organization
-
E. Joselevish, H. Dai, J. Liu, K. Hata, and A. H. Windle, "Carbon nanotube synthesis and organization," Top. Appl. Phys., vol. 111, pp. 101-164, 2008.
-
(2008)
Top. Appl. Phys.
, vol.111
, pp. 101-164
-
-
Joselevish, E.1
Dai, H.2
Liu, J.3
Hata, K.4
Windle, A.H.5
-
53
-
-
34248360702
-
High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes
-
DOI 10.1038/nnano.2007.77, PII NNANO200777
-
S. J. Kang, C. Kocabas, T. Ozel, M. Shim, N. Pimparkar, M. A. Alam, S. V. Rotkin, and J. A. Rogers, "High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes," Nat. Nanotechnol., vol. 2, no. 4, pp. 230-236, Apr. 2007. (Pubitemid 46739814)
-
(2007)
Nature Nanotechnology
, vol.2
, Issue.4
, pp. 230-236
-
-
Kang, S.J.1
Kocabas, C.2
Ozel, T.3
Shim, M.4
Pimparkar, N.5
Alam, M.A.6
Rotkin, S.V.7
Rogers, J.A.8
-
54
-
-
70349300546
-
8 Gb 3D DDR3 DRAM using through-silicon-via technology
-
Feb.
-
U. Kang, H.-J. Chung, S. Heo, S.-H. Ahn, H. Lee, S.-H. Cha, J. Ahn, D.-M. Kwon, J. H. Kim, J.-W. Lee, H.-S. Joo, W.-S. Kim, H.-K. Kim, E.-M. Lee, S.-R. Kim, K.-H. Ma, D.-H. Jang, N.-S. Kim, M.-S. Choi, S.-J. Oh, J.-B. Lee, T.-K. Jung, J.-H. Yoo, and C. Kim, "8 Gb 3D DDR3 DRAM using through-silicon-via technology," in Proc. Int. Solid-State Circuit Conf., Feb. 2009, pp. 130-131.
-
(2009)
Proc. Int. Solid-State Circuit Conf.
, pp. 130-131
-
-
Kang, U.1
Chung, H.-J.2
Heo, S.3
Ahn, S.-H.4
Lee, H.5
Cha, S.-H.6
Ahn, J.7
Kwon, D.-M.8
Kim, J.H.9
Lee, J.-W.10
Joo, H.-S.11
Kim, W.-S.12
Kim, H.-K.13
Lee, E.-M.14
Kim, S.-R.15
Ma, K.-H.16
Jang, D.-H.17
Kim, N.-S.18
Choi, M.-S.19
Oh, S.-J.20
Lee, J.-B.21
Jung, T.-K.22
Yoo, J.-H.23
Kim, C.24
more..
-
55
-
-
75449096167
-
Numerically efficient modeling of CNT transistors with ballistic and nonballistic effects for circuit simulation
-
Jan.
-
T. J. Kazmierski, D. Zhou, B. M. Al-Hashimi, and P. Ashburn, "Numerically efficient modeling of CNT transistors with ballistic and nonballistic effects for circuit simulation," IEEE Trans. Nanotechnol., vol. 9, no. 1, pp. 99-107, Jan. 2010.
-
(2010)
IEEE Trans. Nanotechnol.
, vol.9
, Issue.1
, pp. 99-107
-
-
Kazmierski, T.J.1
Zhou, D.2
Al-Hashimi, B.M.3
Ashburn, P.4
-
56
-
-
61649110276
-
Three-dimensional silicon integration
-
Nov.
-
J. U. Knickerbocker, P. S. Andry, B. Dang, R. R. Horton, M. J. Interrante, C. S. Patel, R. J. Polastre, K. Sakuma, R. Sirdeshmukh, E. J. Sprogis, S. M. Sri-Jayantha, A. M. Stephens, A. W. Topol, C. K. Tsang, B. C. Webb, and S. L. Wright, "Three-dimensional silicon integration," IBM J. Res. Develop., vol. 52, no. 6, pp. 553-569, Nov. 2008.
-
(2008)
IBM J. Res. Develop.
, vol.52
, Issue.6
, pp. 553-569
-
-
Knickerbocker, J.U.1
Andry, P.S.2
Dang, B.3
Horton, R.R.4
Interrante, M.J.5
Patel, C.S.6
Polastre, R.J.7
Sakuma, K.8
Sirdeshmukh, R.9
Sprogis, E.J.10
Sri-Jayantha, S.M.11
Stephens, A.M.12
Topol, A.W.13
Tsang, C.K.14
Webb, B.C.15
Wright, S.L.16
-
57
-
-
37249005287
-
Improved synthesis of aligned arrays of single-walled carbon nanotubes and their implementation in thin film type transistors
-
DOI 10.1021/jp071387w
-
C. Kocabas, S. J. Kang, T. Ozel, M. Shim, and J. A. Rogers, "Improved synthesis of aligned arrays of single-walled carbon nanotubes and their implementation in thin film type transistors," J. Phys. Chem., vol. 111, no. 48, pp. 17879-17886, 2007. (Pubitemid 350279924)
-
(2007)
Journal of Physical Chemistry C
, vol.111
, Issue.48
, pp. 17879-17886
-
-
Kocabas, C.1
Kang, S.J.2
Ozel, T.3
Shim, M.4
Rogers, J.A.5
-
58
-
-
0000058676
-
Alkaline metal-doped n-type semiconducting nanotubes as quantum dots
-
J. Kong, C. Zhou, E. Yenilmez, and H. Dai, "Alkaline metal-doped n-type semiconducting nanotubes as quantum dots," Appl. Phys. Lett., vol. 77, no. 24, pp. 3977-3979, 2000.
-
(2000)
Appl. Phys. Lett.
, vol.77
, Issue.24
, pp. 3977-3979
-
-
Kong, J.1
Zhou, C.2
Yenilmez, E.3
Dai, H.4
-
59
-
-
0025457747
-
Fault tolerance in VLSI circuits
-
DOI 10.1109/2.56854
-
I. Koren and A. D. Singh, "Fault tolerance in VLSI circuits," Computer, vol. 23, no. 7, pp. 73-83, Jul. 1990. (Pubitemid 20737829)
-
(1990)
Computer
, vol.23
, Issue.7
, pp. 73-83
-
-
Koren Israel1
Singh Adit, D.2
-
60
-
-
70350241407
-
Moore's law past 32 nm: Future challenges in device scaling
-
K. J. Kuhn, "Moore's law past 32 nm: Future challenges in device scaling," in Proc. Int. Workshop Comp. Elec., 2009, pp. 1-6.
-
(2009)
Proc. Int. Workshop Comp. Elec.
, pp. 1-6
-
-
Kuhn, K.J.1
-
61
-
-
42549113524
-
Measurement of metal/carbon nanotube contact resistance by adjusting contact length using laser ablation
-
C. Lan, P. Srisungsitthisunti, P. B. Amama, T. S. Fisher, X. Xu, and R. G. Reifenberger, "Measurement of metal/carbon nanotube contact resistance by adjusting contact length using laser ablation," Nanotechnology, vol. 19, no. 12, pp. 1-7, 2008.
-
(2008)
Nanotechnology
, vol.19
, Issue.12
, pp. 1-7
-
-
Lan, C.1
Srisungsitthisunti, P.2
Amama, P.B.3
Fisher, T.S.4
Xu, X.5
Reifenberger, R.G.6
-
62
-
-
46849085358
-
Self-sorted, aligned nanotube networks for thin-film transistors
-
DOI 10.1126/science.1156588
-
M. LeMieux, M. C. LeMieux, M. Roberts, S. Barman, Y. W. Jin, J. M. Kim, and Z. Bao, "Self-sorted, aligned nanotube networks for thin-film transistors," Science, vol. 321, no. 5885, pp. 101-104, 2008. (Pubitemid 351956240)
-
(2008)
Science
, vol.321
, Issue.5885
, pp. 101-104
-
-
LeMieux, M.C.1
Roberts, M.2
Barman, S.3
Yong, W.J.4
Jong, M.K.5
Bao, Z.6
-
63
-
-
10744223154
-
Preferential Growth of Semiconducting Single-Walled Carbon Nanotubes by a Plasma Enhanced CVD Method
-
DOI 10.1021/nl035097c
-
Y. Li, D. Mann, M. Rolandi, W. Kim, A. Ural, S. Hung, A. Javey, J. Cao, D. Wang, E. Yenilmez, Q. Wang, J. F. Gibbons, Y. Nishi, and H. Dai, "Preferential growth of semiconducting single-walled carbon nanotubes by a plasma enhanced CVD method," Nano Lett., vol. 4, no. 2, pp. 317-321, 2004. (Pubitemid 38294358)
-
(2004)
Nano Letters
, vol.4
, Issue.2
, pp. 317-321
-
-
Li, Y.1
Mann, D.2
Rolandi, M.3
Kim, W.4
Ural, A.5
Hung, S.6
Javey, A.7
Cao, J.8
Wang, D.9
Yenilmez, E.10
Wang, Q.11
Gibbons, J.F.12
Nishi, Y.13
Dai, H.14
-
64
-
-
84255185398
-
TSV-aware 3D physical design tool needs for faster mainstream acceptance of 3D ICs
-
S. K. Lim, "TSV-aware 3D physical design tool needs for faster mainstream acceptance of 3D ICs," in Proc. Des. Automat. Conf. Knowledge Center Article, 2010.
-
(2010)
Proc. Des. Automat. Conf. Knowledge Center Article
-
-
Lim, S.K.1
-
65
-
-
33745817849
-
Performance benefits of monolithically stacked 3D-FPGA
-
Fourteenth ACM/SIGDA International Symposium on Field Programmable Gate Arrays - FPGA 2006
-
M. Lin, A. E. Gamal, Y.-C. Lu, and S. Wong, "Performance benefits of monolithically stacked 3D-FPGA," in Proc. Symp. Field Programmable Gate Arrays, 2006, pp. 113-122. (Pubitemid 44032244)
-
(2006)
ACM/SIGDA International Symposium on Field Programmable Gate Arrays - FPGA
, pp. 113-122
-
-
Lin, M.1
Gamal, A.E.2
Lu, Y.-C.3
Wong, S.4
-
66
-
-
84859891508
-
ACCNT: A metallic-CNT-tolerant design methodology for carbon-nanotube VLSI: Concepts and experimental demonstration
-
Dec.
-
A. Lin, N. Patil, H. Wei, S. Mitra, and H.-S. P. Wong, "ACCNT: A metallic-CNT-tolerant design methodology for carbon-nanotube VLSI: Concepts and experimental demonstration," IEEE Trans. Electron Devices, vol. 56, no. 12, pp. 2969-2978, Dec. 2009.
-
(2009)
IEEE Trans. Electron Devices
, vol.56
, Issue.12
, pp. 2969-2978
-
-
Lin, A.1
Patil, N.2
Wei, H.3
Mitra, S.4
Wong, H.-S.P.5
-
67
-
-
77956061311
-
ACCNT: A metallic-CNT-tolerant design methodology for carbonnanotube VLSI: Analyses and design guidelines
-
Sep.
-
A. Lin, J. Zhang, N. Patil, H. Wei, S. Mitra, and H.-S. P. Wong, "ACCNT: A metallic-CNT-tolerant design methodology for carbonnanotube VLSI: Analyses and design guidelines," IEEE Trans. Electron Devices, vol. 57, no. 9, pp. 2284-2295, Sep. 2010.
-
(2010)
IEEE Trans. Electron Devices
, vol.57
, Issue.9
, pp. 2284-2295
-
-
Lin, A.1
Zhang, J.2
Patil, N.3
Wei, H.4
Mitra, S.5
Wong, H.-S.P.6
-
68
-
-
57849122475
-
A low-overhead fault tolerance scheme for TSV-Based 3D network on chip links
-
I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, "A low-overhead fault tolerance scheme for TSV-Based 3D network on chip links," in Proc. Int. Conf. Comput. Aided Des., 2008, pp. 598-602.
-
(2008)
Proc. Int. Conf. Comput. Aided Des.
, pp. 598-602
-
-
Loi, I.1
Mitra, S.2
Lee, T.H.3
Fujita, S.4
Benini, L.5
-
69
-
-
84859043792
-
Optimization and projection of carbon nanotube field effect transistor performance (CNFET) down to 7 nm gate length including effects of extrinsic resistance and capacitance
-
preparation
-
J. Luo, L. Wei, C.-S. Lee, A. Franklin, X. Guan, E. Pop, D. Antoniadis, and H.-S. P. Wong, "Optimization and projection of carbon nanotube field effect transistor performance (CNFET) down to 7 nm gate length including effects of extrinsic resistance and capacitance," in Proc. Int. Electron Devices Meet., in preparation.
-
Proc. Int. Electron Devices Meet.
-
-
Luo, J.1
Wei, L.2
Lee, C.-S.3
Franklin, A.4
Guan, X.5
Pop, E.6
Antoniadis, D.7
Wong, H.-S.P.8
-
70
-
-
55649110343
-
Carrier density and effective mass calculations in carbon nanotubes
-
Nov.
-
J. Marulanda and A. Srivastava, "Carrier density and effective mass calculations in carbon nanotubes," Physica Status Solidi (B) Basic Res., vol. 245, no. 11, pp. 2558-2562, Nov. 2008.
-
(2008)
Physica Status Solidi (B) Basic Res.
, vol.245
, Issue.11
, pp. 2558-2562
-
-
Marulanda, J.1
Srivastava, A.2
-
71
-
-
70350060186
-
Imperfection-immune VLSI logic circuits using carbon nanotube field effect transistors
-
Apr.
-
S. Mitra, J. Zhang, N. Patil, and H. Wei, "Imperfection-immune VLSI logic circuits using carbon nanotube field effect transistors," in Proc. Des., Automat. Test Eur., Apr. 2009, pp. 436-441.
-
(2009)
Proc. Des., Automat. Test Eur.
, pp. 436-441
-
-
Mitra, S.1
Zhang, J.2
Patil, N.3
Wei, H.4
-
72
-
-
85010248741
-
Reliable circuits using less reliable relays-part i
-
E. F. Moore and C. E. Shannon, "Reliable circuits using less reliable relays-part I," J. Franklin Inst., vol. 262, no. 3, pp. 191-208, 1956.
-
(1956)
J. Franklin Inst.
, vol.262
, Issue.3
, pp. 191-208
-
-
Moore, E.F.1
Shannon, C.E.2
-
73
-
-
77950479720
-
Change in carrier type in high-K gate carbon nanotube field-effect transistors by interface fixed charges
-
Apr.
-
N. Moriyama, Y. Ohno, T. Kitamura, S. Kishimoto, and T. Mizutani, "Change in carrier type in high-K gate carbon nanotube field-effect transistors by interface fixed charges," Nanotechnology, vol. 21, no. 16, p. 165201, Apr. 2010.
-
(2010)
Nanotechnology
, vol.21
, Issue.16
, pp. 165201
-
-
Moriyama, N.1
Ohno, Y.2
Kitamura, T.3
Kishimoto, S.4
Mizutani, T.5
-
74
-
-
61549122276
-
Through-silicon via (TSV)
-
Jan.
-
M. Motoyoshi, "Through-silicon via (TSV)," Proc. IEEE, vol. 97, no. 1, pp. 43-48, Jan. 2009.
-
(2009)
Proc. IEEE
, vol.97
, Issue.1
, pp. 43-48
-
-
Motoyoshi, M.1
-
75
-
-
13444256520
-
Performance comparison between carbon nanotube and copper interconnects for gigascale integration (GSI)
-
DOI 10.1109/LED.2004.841440
-
A. Naeemi, R. Sarvari, and J. D. Meindl, "Performance comparison between carbon nanotube and copper interconnects for gigascale integration (GSI)," Electron Device Lett., vol. 26, no. 2, no. 84-86, 2005. (Pubitemid 40205844)
-
(2005)
IEEE Electron Device Letters
, vol.26
, Issue.2
, pp. 84-86
-
-
Naeemi, A.1
Sarvari, R.2
Meindl, J.D.3
-
76
-
-
84859043794
-
-
NanGate Inc. [Online]
-
NanGate, Inc. [Online]. Available: http://www.nangate.com
-
-
-
-
77
-
-
64549151943
-
A 32 nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171 μm2 SRAM cell size in a 291Mb array
-
S. Natarajan, M. Armstrong, M. Bost, R. Brain, and M. Brazier, "A 32 nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171 μm2 SRAM cell size in a 291Mb array," in Proc. Int. Electron Devices Meet., 2008, pp. 941-943.
-
(2008)
Proc. Int. Electron Devices Meet.
, pp. 941-943
-
-
Natarajan, S.1
Armstrong, M.2
Bost, M.3
Brain, R.4
Brazier, M.5
-
78
-
-
41549108438
-
Modeling extended contacts for nanotube and graphene devices
-
N. Nemec, D. Toḿanek, and G. Cuniberti, "Modeling extended contacts for nanotube and graphene devices," Phys. Rev. B, vol. 77, no. 12, p. 125420, 2008.
-
(2008)
Phys. Rev. B
, vol.77
, Issue.12
, pp. 125420
-
-
Nemec, N.1
Toḿanek, D.2
Cuniberti, G.3
-
79
-
-
84884698255
-
Optimization of VDD and VTH for low-power and high-speed applications
-
K. Nose and T. Sakurai, "Optimization of VDD and VTH for low-power and high-speed applications," in Proc. Asia South Pacific Des. Automat. Conf., 2000, pp. 469-474.
-
(2000)
Proc. Asia South Pacific Des. Automat. Conf.
, pp. 469-474
-
-
Nose, K.1
Sakurai, T.2
-
80
-
-
84859043793
-
-
[Online]
-
(2009). [Online]. Available: http://www.opencores.org
-
(2009)
-
-
-
81
-
-
84859060523
-
-
[Online]
-
(2011). [Online]. Available: http://www.opensparc.net
-
(2011)
-
-
-
82
-
-
33751094287
-
Comparison of performance limits for carbon nanoribbon and carbon nanotube transistors
-
Y. Ouyang, Y. Yoon, J. K. Fodor, and J. Guo, "Comparison of performance limits for carbon nanoribbon and carbon nanotube transistors," Appl. Phys. Lett., vol. 89, no. 20, pp. 1-3, 2006.
-
(2006)
Appl. Phys. Lett.
, vol.89
, Issue.20
, pp. 1-3
-
-
Ouyang, Y.1
Yoon, Y.2
Fodor, J.K.3
Guo, J.4
-
83
-
-
70350092587
-
Metal-induced dopant (boron and phosphorus) activation process in amorphous germanium for monolithic three-dimensional integration
-
Oct.
-
J.-H. Park, M. Tada, W.-S. Jung, H.-S. P. Wong, and K. C. Saraswat, "Metal-induced dopant (boron and phosphorus) activation process in amorphous germanium for monolithic three-dimensional integration," J. Appl. Phys., vol. 106, no. 7, pp. 1-6, Oct. 2009.
-
(2009)
J. Appl. Phys.
, vol.106
, Issue.7
, pp. 1-6
-
-
Park, J.-H.1
Tada, M.2
Jung, W.-S.3
Wong, H.-S.P.4
Saraswat, K.C.5
-
84
-
-
34547287170
-
Automated design of misaligned-carbon-nanotube-immune circuits
-
Jun.
-
N. Patil, J. Deng, H.-S. P. Wong, and S. Mitra, "Automated design of misaligned-carbon-nanotube-immune circuits," in Proc. Des. Automat. Conf., Jun. 2007, pp. 958-961.
-
(2007)
Proc. Des. Automat. Conf.
, pp. 958-961
-
-
Patil, N.1
Deng, J.2
Wong, H.-S.P.3
Mitra, S.4
-
85
-
-
51949096624
-
Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures
-
Jun.
-
N. Patil, A. Lin, E. R. Myers, H.-S. P. Wong, and S. Mitra, "Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures," in Proc. Symp. VLSI Tech., Jun. 2008, pp. 205-206.
-
(2008)
Proc. Symp. VLSI Tech.
, pp. 205-206
-
-
Patil, N.1
Lin, A.2
Myers, E.R.3
Wong, H.-S.P.4
Mitra, S.5
-
86
-
-
52649150836
-
Design methods for misaligned and mis-positioned carbon-nanotube-immune circuits
-
Oct.
-
N. Patil, J. Deng, A. Lin, H.-S. P. Wong, and S. Mitra, "Design methods for misaligned and mis-positioned carbon-nanotube-immune circuits," IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., vol. 27, no. 10, pp. 1725-1736, Oct. 2008.
-
(2008)
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.
, vol.27
, Issue.10
, pp. 1725-1736
-
-
Patil, N.1
Deng, J.2
Lin, A.3
Wong, H.-S.P.4
Mitra, S.5
-
87
-
-
59049086559
-
Circuit-level performance benchmarking and scalability of carbon nanotube transistor circuits
-
Jan.
-
N. Patil, J. Deng, S. Mitra, and H.-S. P. Wong, "Circuit-level performance benchmarking and scalability of carbon nanotube transistor circuits," IEEE Trans. Nanotechnol., vol. 8, no. 1, pp. 37-45, Jan. 2009.
-
(2009)
IEEE Trans. Nanotechnol.
, vol.8
, Issue.1
, pp. 37-45
-
-
Patil, N.1
Deng, J.2
Mitra, S.3
Wong, H.-S.P.4
-
88
-
-
67949117067
-
Wafer-scale growth and transfer of aligned single-walled carbon nanotubes
-
Jul.
-
N. Patil, A. Lin, E. R. Myers, K. Ryu, A. Badmaev, C. Zhou, H.-S. P. Wong, and S. Mitra, "Wafer-scale growth and transfer of aligned single-walled carbon nanotubes," IEEE Trans. Nanotechnol., vol. 8, no. 4, pp. 498-504, Jul. 2009.
-
(2009)
IEEE Trans. Nanotechnol.
, vol.8
, Issue.4
, pp. 498-504
-
-
Patil, N.1
Lin, A.2
Myers, E.R.3
Ryu, K.4
Badmaev, A.5
Zhou, C.6
Wong, H.-S.P.7
Mitra, S.8
-
89
-
-
77952326542
-
VMR: VLSI-Compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs
-
Dec.
-
N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H.-S. P. Wong, and S. Mitra, "VMR: VLSI-Compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs," in Proc. Int. Electron. Devices Meet., Dec. 2009, pp. 573-576.
-
(2009)
Proc. Int. Electron. Devices Meet.
, pp. 573-576
-
-
Patil, N.1
Lin, A.2
Zhang, J.3
Wei, H.4
Anderson, K.5
Wong, H.-S.P.6
Mitra, S.7
-
90
-
-
70350704957
-
Digital VLSI logic technology using carbon nanotube FETs: Frequently asked questions
-
Jul.
-
N. Patil, A. Lin, J. Zhang, H.-S. P. Wong, and S. Mitra, "Digital VLSI logic technology using carbon nanotube FETs: Frequently asked questions," in Proc. Des. Automat. Conf., Jul. 2009, pp. 304-309.
-
(2009)
Proc. Des. Automat. Conf.
, pp. 304-309
-
-
Patil, N.1
Lin, A.2
Zhang, J.3
Wong, H.-S.P.4
Mitra, S.5
-
91
-
-
79960281144
-
Scalable carbon nanotube computational and storage circuits immune to metallic and mis-positioned carbon nanotubes
-
Jul.
-
N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H.-S. P. Wong, and S. Mitra, "Scalable carbon nanotube computational and storage circuits immune to metallic and mis-positioned carbon nanotubes," IEEE Trans. Nanotechnol., vol. 10, no. 4, pp. 744-750, Jul. 2011.
-
(2011)
IEEE Trans. Nanotechnol.
, vol.10
, Issue.4
, pp. 744-750
-
-
Patil, N.1
Lin, A.2
Zhang, J.3
Wei, H.4
Anderson, K.5
Wong, H.-S.P.6
Mitra, S.7
-
92
-
-
34547236228
-
Modeling and analysis of circuit performance of ballistic CNFET
-
DOI 10.1145/1146909.1147092, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
B. C. Paul, S. Fujita, M. Okajima, and T. Lee, "Modeling and analysis of circuit performance of ballistic CNFET," in Proc. Des. Automat. Conf., 2006, pp. 717-722. (Pubitemid 47113988)
-
(2006)
Proceedings - Design Automation Conference
, pp. 717-722
-
-
Paul, B.C.1
Fujita, S.2
Okajima, M.3
Lee, T.4
-
93
-
-
41749091851
-
Impact of a process variation on nanowire and nanotube device performance
-
DOI 10.1109/TED.2007.901882
-
B. C. Paul, S. Fujita, M. Okajima, T. H. Lee, H.-S. P. Wong, and Y. Nishi, "Impact of a process variation on nanowire and nanotube device performance," IEEE Trans. Elec. Dev., vol. 54, no. 9, pp. 2369-2376, Sep. 2007. (Pubitemid 351485752)
-
(2007)
IEEE Transactions on Electron Devices
, vol.54
, Issue.9
, pp. 2369-2376
-
-
Paul, B.C.1
Fujita, S.2
Okajima, M.3
Lee, T.H.4
Wong, H.-S.P.5
Nishi, Y.6
-
94
-
-
34447276692
-
Limits of performance gain of aligned CNT over randomized network: Theoretical predictions and experimental validation
-
DOI 10.1109/LED.2007.898256
-
N. Pimparkar, C. Kocabas, S. J. Kang, J. Rogers, and M. A. Alam, "Limits of performance gain of aligned CNT over randomized network: Theoretical predictions and experimental validation," Electron Device Lett., vol. 28, no. 7, pp. 593-595, Jul. 2007. (Pubitemid 47040462)
-
(2007)
IEEE Electron Device Letters
, vol.28
, Issue.7
, pp. 593-595
-
-
Pimparkar, N.1
Kocabas, C.2
Kang, S.J.3
Rogers, J.4
Alam, M.A.5
-
95
-
-
47249117849
-
The role of electrical and thermal contact resistance for Joule breakdown of single-wall carbon nanotubes
-
E. Pop, "The role of electrical and thermal contact resistance for Joule breakdown of single-wall carbon nanotubes," Nanotechnology, vol. 19, no. 29, p. 295202, 2008.
-
(2008)
Nanotechnology
, vol.19
, Issue.29
, pp. 295202
-
-
Pop, E.1
-
96
-
-
31544438604
-
Thermal conductance of an individual single-wall carbon nanotube above room temperature
-
DOI 10.1021/nl052145f
-
E. Pop, D. Mann, Q. Wang, K. Goodson, and H. Dai, "Thermal conductance of an individual single-wall carbon nanotube above room temperature," Nano Lett., vol. 6, no. 1, pp. 96-100, 2006. (Pubitemid 43166108)
-
(2006)
Nano Letters
, vol.6
, Issue.1
, pp. 96-100
-
-
Pop, E.1
Mann, D.2
Wang, Q.3
Goodson, K.4
Dai, H.5
-
97
-
-
54949114068
-
Preferential syntheses of semiconducting vertically aligned single-walled carbon nanotubes for direct use in FETs
-
L. Qu, D. Feng, and L. Dai, "Preferential syntheses of semiconducting vertically aligned single-walled carbon nanotubes for direct use in FETs," Nano Lett., vol. 8, no. 9, pp. 2682-2687, 2008.
-
(2008)
Nano Lett.
, vol.8
, Issue.9
, pp. 2682-2687
-
-
Qu, L.1
Feng, D.2
Dai, L.3
-
98
-
-
4344623727
-
Circuitcompatible modeling of carbon nanotube FETs in the ballistic limit of performance
-
Aug.
-
A. Raychowdhury, S. Mukhopadhyay, and K. Roy, "Circuitcompatible modeling of carbon nanotube FETs in the ballistic limit of performance," in Proc. IEEE Conf. Nanotechnol., vol. 2. Aug. 2003, pp. 343-346.
-
(2003)
Proc. IEEE Conf. Nanotechnol.
, vol.2
, pp. 343-346
-
-
Raychowdhury, A.1
Mukhopadhyay, S.2
Roy, K.3
-
99
-
-
62749140771
-
Variation tolerance in a multichannel carbon-nanotube transistor for high-speed digital circuits
-
Mar.
-
A. Raychowdhury, V. K. De, J. Kurtin, S. Y. Borkar, K. Roy, and A. Keshavarzi, "Variation tolerance in a multichannel carbon-nanotube transistor for high-speed digital circuits," IEEE Trans. Elec. Dev., vol. 56, no. 3, pp. 383-392, Mar. 2009.
-
(2009)
IEEE Trans. Elec. Dev.
, vol.56
, Issue.3
, pp. 383-392
-
-
Raychowdhury, A.1
De, V.K.2
Kurtin, J.3
Borkar, S.Y.4
Roy, K.5
Keshavarzi, A.6
-
102
-
-
64549151567
-
Addressing thermal and power delivery bottlenecks in 3D circuits
-
S. Sapatnekar, "Addressing thermal and power delivery bottlenecks in 3D circuits," in Proc. Asia South Pacific Des. Automat. Conf., 2009, pp. 423-428.
-
(2009)
Proc. Asia South Pacific Des. Automat. Conf.
, pp. 423-428
-
-
Sapatnekar, S.1
-
103
-
-
77950438540
-
Effect of variability in SWCNT-based logic gates
-
H. Shahidipour, A. Ahmadi, and K. Maharatna, "Effect of variability in SWCNT-based logic gates," in Proc. Int. Symp. Integr. Circuits, 2009, pp. 252-255.
-
(2009)
Proc. Int. Symp. Integr. Circuits
, pp. 252-255
-
-
Shahidipour, H.1
Ahmadi, A.2
Maharatna, K.3
-
104
-
-
79955892163
-
Linear increases in carbon nanotube density through multiple transfer technique
-
May
-
M. Shulaker, H. Wei, N. Patil, J. Provine, H. Y. Chen, H. S. Wong, and S. Mitra, "Linear increases in carbon nanotube density through multiple transfer technique," Nano Lett., vol. 11, no. 5, pp. 1881-1886, May 2011.
-
(2011)
Nano Lett.
, vol.11
, Issue.5
, pp. 1881-1886
-
-
Shulaker, M.1
Wei, H.2
Patil, N.3
Provine, J.4
Chen, H.Y.5
Wong, H.S.6
Mitra, S.7
-
105
-
-
79951955164
-
Contact resistance to a one-dimensional quasiballistic nanotube/wire
-
Mar.
-
P. M. Solomon, "Contact resistance to a one-dimensional quasiballistic nanotube/wire," Electron Device Lett., vol. 32, no. 3, pp. 246-248, Mar. 2011.
-
(2011)
Electron Device Lett.
, vol.32
, Issue.3
, pp. 246-248
-
-
Solomon, P.M.1
-
106
-
-
78650876030
-
3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling
-
Nov.
-
A. Sridhar, A. Vincenzi, M. Ruggiero, T. Brunschwiler, and D. Atienza, "3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling," in Proc. Int. Conf. Comput. Aided Des., Nov. 2010, pp. 463-470.
-
(2010)
Proc. Int. Conf. Comput. Aided Des.
, pp. 463-470
-
-
Sridhar, A.1
Vincenzi, A.2
Ruggiero, M.3
Brunschwiler, T.4
Atienza, D.5
-
107
-
-
67650456814
-
Current transport modeling of carbon nanotube field effect transistors
-
Jul.
-
A. Srivastava, J. M. Marulanda, Y. Xu, and A. K. Sharma, "Current transport modeling of carbon nanotube field effect transistors," Physica Status Solidi (A) Applicat. Mater., vol. 206, no. 7, pp. 1569-1578, Jul. 2009.
-
(2009)
Physica Status Solidi (A) Applicat. Mater.
, vol.206
, Issue.7
, pp. 1569-1578
-
-
Srivastava, A.1
Marulanda, J.M.2
Xu, Y.3
Sharma, A.K.4
-
108
-
-
0032492884
-
Room-temperature transistor based on a single carbon nanotube
-
DOI 10.1038/29954
-
S. J. Tans, A. R. M. Verschueren, and C. Dekker, "Room-temperature transistor based on a single carbon nanotube," Nature, vol. 393, no. 6680, pp. 49-52, 1998. (Pubitemid 28240249)
-
(1998)
Nature
, vol.393
, Issue.6680
, pp. 49-52
-
-
Tans, S.J.1
Verschueren, A.R.M.2
Dekker, C.3
-
109
-
-
33750378045
-
Application-independent defect-tolerance of reconfigurable nano-architectures
-
M. B. Tahoori, "Application-independent defect-tolerance of reconfigurable nano-architectures," ACM J. Emerg. Technol. Comput., vol. 2, no. 3, pp. 197-218, 2006.
-
(2006)
ACM J. Emerg. Technol. Comput.
, vol.2
, Issue.3
, pp. 197-218
-
-
Tahoori, M.B.1
-
110
-
-
58149236847
-
Modeling and performance comparison of 1-D and 2-D devices including parasitic gate capacitance and screening effect
-
Nov.
-
L. Wei, J. Deng, and H.-S. P. Wong, "Modeling and performance comparison of 1-D and 2-D devices including parasitic gate capacitance and screening effect," IEEE Trans. Nanotechnol., vol. 7, no. 6, pp. 720-727, Nov. 2008.
-
(2008)
IEEE Trans. Nanotechnol.
, vol.7
, Issue.6
, pp. 720-727
-
-
Wei, L.1
Deng, J.2
Wong, H.-S.P.3
-
111
-
-
77952396211
-
A non-iterative compact model for carbon nanotube FETs incorporating source exhaustion effects
-
Dec.
-
L. Wei, D. J. Frank, L. Chang, and H.-S. P. Wong, "A non-iterative compact model for carbon nanotube FETs incorporating source exhaustion effects," in Proc. Int. Electron Devices Meet., Dec. 2009, pp. 917-920.
-
(2009)
Proc. Int. Electron Devices Meet.
, pp. 917-920
-
-
Wei, L.1
Frank, D.J.2
Chang, L.3
Wong, H.-S.P.4
-
112
-
-
77952405135
-
Monolithic three-dimensional integrated circuits using carbon nanotube FETs and interconnects
-
Dec.
-
H. Wei, N. Patil, A. Lin, H.-S. P. Wong, and S. Mitra, "Monolithic three-dimensional integrated circuits using carbon nanotube FETs and interconnects," in Proc. Int. Electron Devices Meet., Dec. 2009, pp. 577-580.
-
(2009)
Proc. Int. Electron Devices Meet.
, pp. 577-580
-
-
Wei, H.1
Patil, N.2
Lin, A.3
Wong, H.-S.P.4
Mitra, S.5
-
113
-
-
77957887100
-
Efficient metallic carbon nanotube removal readily scalable to wafer-level VLSI CNFET circuits
-
Jun.
-
H. Wei, N. Patil, J. Zhang, A. Lin, H.-Y. Chen, H.-S. P. Wong, and S. Mitra, "Efficient metallic carbon nanotube removal readily scalable to wafer-level VLSI CNFET circuits," in Proc. Symp. VLSI Tech., Jun. 2010, pp. 237-238.
-
(2010)
Proc. Symp. VLSI Tech.
, pp. 237-238
-
-
Wei, H.1
Patil, N.2
Zhang, J.3
Lin, A.4
Chen, H.-Y.5
Wong, H.-S.P.6
Mitra, S.7
-
114
-
-
82955169101
-
Performance benchmarks for Si, III-V, TFET, and carbon nanotube FET-re-thinking the technology assessment methodology for complementary logic applications
-
L. Wei, S. Oh, and H.-S. P. Wong, "Performance benchmarks for Si, III-V, TFET, and carbon nanotube FET-re-thinking the technology assessment methodology for complementary logic applications," in Proc. Int. Electron Devices Meet., 2010, pp. 391-394.
-
(2010)
Proc. Int. Electron Devices Meet.
, pp. 391-394
-
-
Wei, L.1
Oh, S.2
Wong, H.-S.P.3
-
115
-
-
84862908148
-
Carbon nanotube imperfectionimmune digital VLSI: Frequently asked questions updated
-
Nov.
-
H. Wei, J. Zhang, L. Wei, N. Patil, A. Lin, M. M. Shulaker, H.-Y. Chen, H.-S. P. Wong, and S. Mitra, "Carbon nanotube imperfectionimmune digital VLSI: Frequently asked questions updated," in Proc. Int. Conf. Comput. Aided Des., Nov. 2011, pp. 227-230.
-
(2011)
Proc. Int. Conf. Comput. Aided Des.
, pp. 227-230
-
-
Wei, H.1
Zhang, J.2
Wei, L.3
Patil, N.4
Lin, A.5
Shulaker, M.M.6
Chen, H.-Y.7
Wong, H.-S.P.8
Mitra, S.9
-
116
-
-
34548850038
-
Monolithic 3D integrated circuits
-
Apr.
-
S. Wong, A. El-Gamal, P. Griffin, Y. Nishi, F. Pease, and J. Plummer, "Monolithic 3D integrated circuits," in Proc. VLSI-Technol., Syst. Applicat., Apr. 2007, pp. 1-4.
-
(2007)
Proc. VLSI-Technol., Syst. Applicat.
, pp. 1-4
-
-
Wong, S.1
El-Gamal, A.2
Griffin, P.3
Nishi, Y.4
Pease, F.5
Plummer, J.6
-
117
-
-
84863012745
-
Carbon nanotube electronics-materials, devices, circuits, design, modeling, and performance projection
-
H.-S. P. Wong, S. Mitra, D. Akingwande, C. Beasley, Y. Chai, H.-Y. Chen, X. Chen, G. Close, J. Deng, A. Hazeghi, J. Liang, A. Lin, L. S. Liyanage, J. Luo, J. Parker, N. Patil, M. Shulaker, H. Wei, L. Wei, and J. Zhang, "Carbon nanotube electronics-materials, devices, circuits, design, modeling, and performance projection," in Proc. Int. Electron. Devices Meet., 2011, pp. 501-504.
-
(2011)
Proc. Int. Electron. Devices Meet.
, pp. 501-504
-
-
Wong, H.-S.P.1
Mitra, S.2
Akingwande, D.3
Beasley, C.4
Chai, Y.5
Chen, H.-Y.6
Chen, X.7
Close, G.8
Deng, J.9
Hazeghi, A.10
Liang, J.11
Lin, A.12
Liyanage, L.S.13
Luo, J.14
Parker, J.15
Patil, N.16
Shulaker, M.17
Wei, H.18
Wei, L.19
Zhang, J.20
more..
-
118
-
-
33751000466
-
Selective etching of metallic carbon nanotubes by gas-phase reaction
-
DOI 10.1126/science.1133781
-
G. Zhang, P. Qi, X. Wang, Y. Lu, X. Li, R. Tu, S. Bangsaruntip, D. Mann, L. Zhang, and H. Dai, "Selective etching of metallic carbon nanotubes by gas-phase reaction," Science, vol. 314, no. 5801, pp. 974-977, Nov. 2006. (Pubitemid 44749938)
-
(2006)
Science
, vol.314
, Issue.5801
, pp. 974-977
-
-
Zhang, G.1
Qi, P.2
Wang, X.3
Lu, Y.4
Li, X.5
Tu, R.6
Bangsaruntip, S.7
Mann, D.8
Zhang, L.9
Dai, H.10
-
119
-
-
70350727154
-
Carbon nanotube circuits in the presence of carbon nanotube density variations
-
Jul.
-
J. Zhang, N. Patil, A. Hazeghi, and S. Mitra, "Carbon nanotube circuits in the presence of carbon nanotube density variations," in Proc. Des. Automat. Conf., Jul. 2009, pp. 71-76.
-
(2009)
Proc. Des. Automat. Conf.
, pp. 71-76
-
-
Zhang, J.1
Patil, N.2
Hazeghi, A.3
Mitra, S.4
-
120
-
-
77955197950
-
Probabilistic analysis and design of metallic-carbon-nanotube-tolerant digital logic circuits
-
Sep.
-
J. Zhang, N. Patil, and S. Mitra, "Probabilistic analysis and design of metallic-carbon-nanotube-tolerant digital logic circuits," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 28, no. 9, pp. 1307-1320, Sep. 2009.
-
(2009)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.28
, Issue.9
, pp. 1307-1320
-
-
Zhang, J.1
Patil, N.2
Mitra, S.3
-
121
-
-
77953116664
-
Carbon nanotube circuits: Living with imperfections and variations
-
Mar.
-
J. Zhang, N. Patil, A. Lin, H.-S. P. Wong, and S. Mitra, "Carbon nanotube circuits: Living with imperfections and variations," in Proc. Des., Automat. Test Eur., Mar. 2010, pp. 1159-1164.
-
(2010)
Proc. Des., Automat. Test Eur.
, pp. 1159-1164
-
-
Zhang, J.1
Patil, N.2
Lin, A.3
Wong, H.-S.P.4
Mitra, S.5
-
122
-
-
77956206855
-
Carbon nanotube correlation: Promising opportunity for CNFET circuit yield enhancement
-
Jun.
-
J. Zhang, S. Bobba, N. Patil, A. Lin, H.-S. P. Wong, G. D. Micheli, and S. Mitra, "Carbon nanotube correlation: Promising opportunity for CNFET circuit yield enhancement," in Proc. Des. Automat. Conf., Jun. 2010, pp. 889-892.
-
(2010)
Proc. Des. Automat. Conf.
, pp. 889-892
-
-
Zhang, J.1
Bobba, S.2
Patil, N.3
Lin, A.4
Wong, H.-S.P.5
Micheli, G.D.6
Mitra, S.7
-
123
-
-
79960670671
-
Characterization and design of logic circuits in the presence of carbon nanotube density variations
-
Aug.
-
J. Zhang, N. P. Patil, A. Hazeghi, H.-S. P. Wong, and S. Mitra, "Characterization and design of logic circuits in the presence of carbon nanotube density variations," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 30, no. 8, pp. 1103-1113, Aug. 2011.
-
(2011)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.30
, Issue.8
, pp. 1103-1113
-
-
Zhang, J.1
Patil, N.P.2
Hazeghi, A.3
Wong, H.-S.P.4
Mitra, S.5
-
124
-
-
84863080094
-
Overcoming carbon nanotube variations through co-optimized technology and circuit design
-
Dec.
-
J. Zhang, N. Patil, H.-S. P. Wong, and S. Mitra, "Overcoming carbon nanotube variations through co-optimized technology and circuit design," in Proc. Int. Electron. Devices Meet., Dec. 2011, pp. 87-90.
-
(2011)
Proc. Int. Electron. Devices Meet.
, pp. 87-90
-
-
Zhang, J.1
Patil, N.2
Wong, H.-S.P.3
Mitra, S.4
-
125
-
-
84856997935
-
-
Ph.D. thesis Dept. Electric. Eng., Stanford Univ., Stanford, CA
-
J. Zhang, "Variation-aware design of carbon nanotube digital VLSI circuits," Ph.D. thesis, Dept. Electric. Eng., Stanford Univ., Stanford, CA, 2011.
-
(2011)
Variation-aware Design of Carbon Nanotube Digital VLSI Circuits
-
-
Zhang, J.1
-
126
-
-
0036907338
-
Noise propagation and failure criteria for VLSI designs
-
Nov.
-
V. Zolotov, D. Blaauw, S. Sirichotiyakul, M. Becer, C. Oh, R. Panda, A. Grinshpon, and R. Levy, "Noise propagation and failure criteria for VLSI designs," in Proc. Int. Conf. Comput.-Aided Des., Nov. 2002, pp. 587-594.
-
(2002)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 587-594
-
-
Zolotov, V.1
Blaauw, D.2
Sirichotiyakul, S.3
Becer, M.4
Oh, C.5
Panda, R.6
Grinshpon, A.7
Levy, R.8
|