-
1
-
-
0742321357
-
Fixed-outline floorplanning: Enabling hierarchical design
-
S. Adya and I. Markov. 2003. Fixed-outline floorplanning: Enabling hierarchical design. J. IEEE VLSI Syst. 11, 1120-1135.
-
(2003)
J. IEEE VLSI Syst.
, vol.11
, pp. 1120-1135
-
-
Adya, S.1
Markov, I.2
-
2
-
-
67649126239
-
Devices and architectures for photonic chip-scale integration
-
J. Ahn, M. Fiorentino, R. G. Beausoleil, N. Binkert, A. Davis, D. Fattal, N. P. Jouppi, M. McLaren, C. M. Santori, R. S. Schreiber, S. M. Spillane, D. Vantrease, and Q. Xu. 2009. Devices and architectures for photonic chip-scale integration. J. Appl. Phys. A Mater. Sci. Process. 95, 4, 989-997.
-
(2009)
J. Appl. Phys. A Mater. Sci. Process.
, vol.95
, Issue.4
, pp. 989-997
-
-
Ahn, J.1
Fiorentino, M.2
Beausoleil, R.G.3
Binkert, N.4
Davis, A.5
Fattal, D.6
Jouppi, N.P.7
McLaren, M.8
Santori, C.M.9
Schreiber, R.S.10
Spillane, S.M.11
Vantrease, D.12
Xu, Q.13
-
5
-
-
0036866915
-
A power-optimal repeater insertionmethodology for global interconnects in nanometer designs
-
K. Banerjee and A. Mehrotra. 2002. A power-optimal repeater insertionmethodology for global interconnects in nanometer designs. IEEE Trans. Electron. Devices 49, 11, 2001-2007.
-
(2002)
IEEE Trans. Electron. Devices
, vol.49
, Issue.11
, pp. 2001-2007
-
-
Banerjee, K.1
Mehrotra, A.2
-
6
-
-
33847318661
-
Silicon photonics for compact, energy-efficient interconnects
-
T. Barwicz, H. Byun, F. Gan, C. W. Holzwarth, M. A. Popovic, P. T. Rakich, M. R. Watts, E. P. Ippen, F. X. Krtner, H. I. Smith, J. S. Orcutt, R. J. Ram, V. Stojanovic, O. O. Olubuyide, J. L. Hoyt, S. Spector, M. Geis, M. Grein, T. Lyszczarz, and J. U. Yoon. 2007. Silicon photonics for compact, energy-efficient interconnects. J. Optical Netw. 6, 1, 63-73.
-
(2007)
J. Optical Netw.
, vol.6
, Issue.1
, pp. 63-73
-
-
Barwicz, T.1
Byun, H.2
Gan, F.3
Holzwarth, C.W.4
Popovic, M.A.5
Rakich, P.T.6
Watts, M.R.7
Ippen, E.P.8
Krtner, F.X.9
Smith, H.I.10
Orcutt, J.S.11
Ram, R.J.12
Stojanovic, V.13
Olubuyide, O.O.14
Hoyt, J.L.15
Spector, S.16
Geis, M.17
Grein, M.18
Lyszczarz, T.19
Yoon, J.U.20
more..
-
8
-
-
0042420599
-
Current-mode signaling in deep submicrometer global interconnects
-
R. Bashirullah, W. Liu, and R. K. Cavin III. 2003. Current-mode signaling in deep submicrometer global interconnects. IEEE Trans. VLSI Syst. 11, 3, 406-417.
-
(2003)
IEEE Trans. VLSI Syst.
, vol.11
, Issue.3
, pp. 406-417
-
-
Bashirullah, R.1
Liu, W.2
Cavin, R.K.3
-
9
-
-
79960796834
-
Large-scale integrated photonics for high-performance interconnects
-
R. Beausoleil. 2011. Large-scale integrated photonics for high-performance interconnects. ACM J. Emerging Technol. Comput. Syst. 7, 2, 21-30.
-
(2011)
ACM J. Emerging Technol. Comput. Syst.
, vol.7
, Issue.2
, pp. 21-30
-
-
Beausoleil, R.1
-
10
-
-
34547322811
-
Interconnects in the third dimension: Design challenges for 3d ics
-
K. Bernstein, P. Andry, J. Cann, P. Emma, D. Greenberg, W. Haensch, M. Ignatowski, S. Koester, J. Magerlein, R. Puri, and A. Young. 2007. Interconnects in the third dimension: Design challenges for 3d ics. In Proceedings of the Design Automation Conference (DAC'07). 562-567.
-
(2007)
Proceedings of the Design Automation Conference (DAC'07)
, pp. 562-567
-
-
Bernstein, K.1
Andry, P.2
Cann, J.3
Emma, P.4
Greenberg, D.5
Haensch, W.6
Ignatowski, M.7
Koester, S.8
Magerlein, J.9
Puri, R.10
Young, A.11
-
11
-
-
49349104103
-
Demonstration of all-optical multi-wavelength message routing for silicon photonic networks
-
A. Biberman, B. Lee, K. Bergman, P. Dong, and M. Lipson. 2008. Demonstration of all-optical multi-wavelength message routing for silicon photonic networks. In Proceedings of the Optical Fiber Communication/National Fiber Optic Engineers Conference (OFC/NFOEC'08). 1-3.
-
(2008)
Proceedings of the Optical Fiber Communication/National Fiber Optic Engineers Conference (OFC/NFOEC'08)
, pp. 1-3
-
-
Biberman, A.1
Lee, B.2
Bergman, K.3
Dong, P.4
Lipson, M.5
-
12
-
-
79960752958
-
Photonic networkon-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors
-
A. Biberman, K. Preston, G. Hendry, N. Sherwood-Droz, J. Chan, J. Levy, M. Lipson, and K. Bergman. 2011. Photonic networkon-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors. ACM J. Emerging Technol. Comput. Syst. 7, 2.
-
(2011)
ACM J. Emerging Technol. Comput. Syst.
, vol.7
, pp. 2
-
-
Biberman, A.1
Preston, K.2
Hendry, G.3
Sherwood-Droz, N.4
Chan, J.5
Levy, J.6
Lipson, M.7
Bergman, K.8
-
17
-
-
43349103497
-
RF interconnects for communications on-chip
-
ACM Press, New York
-
M.-C. Chang, S. Frank, S. Tam, J. Cong, and G. Reinman. 2008b. RF interconnects for communications on-chip. In Proceedings of the International Symposium on Physical Design (ISPD'08). ACM Press, New York, 78-83.
-
(2008)
Proceedings of the International Symposium on Physical Design (ISPD'08)
, pp. 78-83
-
-
Chang, M.-C.1
Frank, S.2
Tam, S.3
Cong, J.4
Reinman, G.5
-
18
-
-
30944444424
-
Predictions of cmos compatible on-chip optical interconnect
-
ACM Press, New York
-
G. Chen, H. Chen, M. Haurylau, N. Nelson, D. Albonesi, M. Philippe, P. Fauchet, E. Friedman, and G. Eby. 2005. Predictions of cmos compatible on-chip optical interconnect. In Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP'05). ACM Press, New York, 13-20.
-
(2005)
Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP'05)
, pp. 13-20
-
-
Chen, G.1
Chen, H.2
Haurylau, M.3
Nelson, N.4
Albonesi, D.5
Philippe, M.6
Fauchet, P.7
Friedman, E.8
Eby, G.9
-
19
-
-
0028550608
-
Optoelectronic buses for high performance computing
-
D. Chiarulli, S. Levitan, R. Melhem, M. Bidnurkar, R. Ditmore, G. Gravenstreter, Z. Guo, J. Qao, and C. Teza. 1994. Optoelectronic buses for high performance computing. Proc. IEEE 82, 11, 1701-1710.
-
(1994)
Proc. IEEE
, vol.82
, Issue.11
, pp. 1701-1710
-
-
Chiarulli, D.1
Levitan, S.2
Melhem, R.3
Bidnurkar, M.4
Ditmore, R.5
Gravenstreter, G.6
Guo, Z.7
Qao, J.8
Teza, C.9
-
21
-
-
0242493154
-
Performance constraints for onchip optical interconnects
-
J. Collet, F. Caignet, F. Sellaye, and D. Litaize. 2003. Performance constraints for onchip optical interconnects. IEEE J. Select. Topics Quantum Electron. 9, 2, 425-432.
-
(2003)
IEEE J. Select. Topics Quantum Electron.
, vol.9
, Issue.2
, pp. 425-432
-
-
Collet, J.1
Caignet, F.2
Sellaye, F.3
Litaize, D.4
-
22
-
-
77956387565
-
Highly-efficient thermally-tuned resonant optical filters
-
J. Cunningham, S. Ivan, Z. Xuezhe, P. Thierry, M. Attila, L. Ying, T. Hiren, L. Guoliang, Y. Jin, R. Kannan, and A. Krishnamoorthy. 2010. Highly-efficient thermally-tuned resonant optical filters. J. Optics Express 18, 18, 19055-19063.
-
(2010)
J. Optics Express
, vol.18
, Issue.18
, pp. 19055-19063
-
-
Cunningham, J.1
Ivan, S.2
Xuezhe, Z.3
Thierry, P.4
Attila, M.5
Ying, L.6
Hiren, T.7
Guoliang, L.8
Jin, Y.9
Kannan, R.10
Krishnamoorthy, A.11
-
23
-
-
84975558642
-
Wavelength-division multiplexing with femtosecond pulses
-
E. Desouza, M. Nuss, W. Knox, and D. Miller. 1995. Wavelength-division multiplexing with femtosecond pulses. J. Optics Express 20, 10, 1166-1168.
-
(1995)
J. Optics Express
, vol.20
, Issue.10
, pp. 1166-1168
-
-
Desouza, E.1
Nuss, M.2
Knox, W.3
Miller, D.4
-
24
-
-
84995615511
-
-
DESIGNW. 2011. http://www.designw.com/.
-
(2011)
-
-
-
28
-
-
84975742225
-
-
Springer
-
M. Dresselhaus, G. Dresselhaus, P. Avouris, and R. Smalley. 2001. Carbon Nanotubes: Synthesis, Structure, Properties, and Applications. Springer.
-
(2001)
Carbon Nanotubes: Synthesis, Structure, Properties, and Applications
-
-
Dresselhaus, M.1
Dresselhaus, G.2
Avouris, P.3
Smalley, R.4
-
30
-
-
70349254176
-
Serial-link bus: A low-power on-chip bus architecture
-
M. Ghoneima, Y. Ismail, M. Khellah, J. Tschanz, and V. De. 2005. Serial-link bus: A low-power on-chip bus architecture. IEEE Trans. Circ. Syst. 56, 9, 2020-2032.
-
(2005)
IEEE Trans. Circ. Syst.
, vol.56
, Issue.9
, pp. 2020-2032
-
-
Ghoneima, M.1
Ismail, Y.2
Khellah, M.3
Tschanz, J.4
De, V.5
-
31
-
-
0021455348
-
Optical interconnects for vlsi systems
-
J. Goodman, F. Leonberger, K. Sun-Yuan, and R. Athale. 1984. Optical interconnects for vlsi systems. IEEE Optical Interconnect. VLSI Syst. 72, 7, 850-866.
-
(1984)
IEEE Optical Interconnect. VLSI Syst.
, vol.72
, Issue.7
, pp. 850-866
-
-
Goodman, J.1
Leonberger, F.2
Sun-Yuan, K.3
Athale, R.4
-
32
-
-
27344456043
-
The thereal network on nhip: Noncepts, architectures, and implementations
-
K. Goossens, J. Dielissen, and A. Radulescu. 2005. The thereal network on nhip: Noncepts, architectures, and implementations. IEEE J. Des. Test Comput. 22, 5, 414-421.
-
(2005)
IEEE J. Des. Test Comput.
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissen, J.2
Radulescu, A.3
-
33
-
-
37149010792
-
Ultra-compact, low RF power, 10 Gb/s silicon machzehnder modulator
-
W. Green, M. Rooks, L. Sekaric, and Y. Vlasov. 2007. Ultra-compact, low RF power, 10 Gb/s silicon machzehnder modulator. J. Optics Express 15, 25, 17106-17113.
-
(2007)
J. Optics Express
, vol.15
, Issue.25
, pp. 17106-17113
-
-
Green, W.1
Rooks, M.2
Sekaric, L.3
Vlasov, Y.4
-
34
-
-
85008052561
-
CMOS photonics for high-speed interconnects
-
C. Gunn. 2006. CMOS photonics for high-speed interconnects. IEEE Micro 26, 2, 58-66.
-
(2006)
IEEE Micro
, vol.26
, Issue.2
, pp. 58-66
-
-
Gunn, C.1
-
36
-
-
0002782983
-
Speed demon: Cache coherence on an optical multichannel interconnect architecture
-
J. Ha and T. Pinkston 1997. Speed demon: Cache coherence on an optical multichannel interconnect architecture. J. Parallel Distrib. Comput. 41, 1, 78-91.
-
(1997)
J. Parallel Distrib. Comput.
, vol.41
, Issue.1
, pp. 78-91
-
-
Ha, J.1
Pinkston, T.2
-
37
-
-
33845665708
-
On-chip optical interconnect roadmap: Challenges and critical directions
-
M. Haurylau, G. Chen, H. Chen, J. Zhang, N. Nelson, D. Albonesi, E. Friedman, and P. Fauchet. 2006. On-chip optical interconnect roadmap: Challenges and critical directions. J. Select. Topics Quantum Electronics 12, 6, 1699-1705.
-
(2006)
J. Select. Topics Quantum Electronics
, vol.12
, Issue.6
, pp. 1699-1705
-
-
Haurylau, M.1
Chen, G.2
Chen, H.3
Zhang, J.4
Nelson, N.5
Albonesi, D.6
Friedman, E.7
Fauchet, P.8
-
39
-
-
33845415228
-
Ultrafast-pulse self-phase modulation and third-order dispersion in si photonic wire-waveguides
-
I.-W. Hsieh, X. Chen, J. Dadap, N. Panoiu, J. Osgood, S. McNab, and Y. Vlasov. 2006. Ultrafast-pulse self-phase modulation and third-order dispersion in si photonic wire-waveguides. J. Optics Express 14, 25, 12380-12387.
-
(2006)
J. Optics Express
, vol.14
, Issue.25
, pp. 12380-12387
-
-
Hsieh, I.-W.1
Chen, X.2
Dadap, J.3
Panoiu, N.4
Osgood, J.5
McNab, S.6
Vlasov, Y.7
-
40
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 5, 51-61.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
42
-
-
70349792919
-
Silicon-photonic clos networks for global on-chip communication
-
A. Joshi, C. Batten, Y.-J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS'09).
-
(2009)
Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS'09)
-
-
Joshi, A.1
Batten, C.2
Kwon, Y.-J.3
Beamer, S.4
Shamim, I.5
Asanovic, K.6
Stojanovic, V.7
-
43
-
-
70350060187
-
ORION 2.0: A fast and accurate noc power and area model for early-stage design space exploration
-
A. Kahng, B. Li, L. Peh, and K. Samadi. 2009. ORION 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'09). 423-428.
-
(2009)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'09)
, pp. 423-428
-
-
Kahng, A.1
Li, B.2
Peh, L.3
Samadi, K.4
-
46
-
-
0032632325
-
3 tbit/s (160 gbit/s19 channel) optical tdm and wdm transmission experiment
-
S. Kawanishi, H. Takara, K. Uchiyama, I. Shake, and K. Mori. 1999. 3 tbit/s (160 gbit/s19 channel) optical tdm and wdm transmission experiment. Proc. Electron. Lett. 35, 10, 826-827.
-
(1999)
Proc. Electron. Lett.
, vol.35
, Issue.10
, pp. 826-827
-
-
Kawanishi, S.1
Takara, H.2
Uchiyama, K.3
Shake, I.4
Mori, K.5
-
47
-
-
0038645209
-
An on-chip high speed serial communication method based on independent ring oscillators
-
S. Kimura, T. Hayakawa, T. Horiyama, M. Nakanishi, and K. Watanabe. 2003. An on-chip high speed serial communication method based on independent ring oscillators. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'03). 390-391.
-
(2003)
Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'03)
, pp. 390-391
-
-
Kimura, S.1
Hayakawa, T.2
Horiyama, T.3
Nakanishi, M.4
Watanabe, K.5
-
49
-
-
13444284483
-
On-chip optical interconnects
-
M. Kobrinsky, B. Block, J. Zheng, B. Barnett, E. Mohammed, M. Reshotko, F. Robertson, S. List, and Young. 2004. On-chip optical interconnects. Intel Technol. 8, 2, 129-142.
-
(2004)
Intel Technol.
, vol.8
, Issue.2
, pp. 129-142
-
-
Kobrinsky, M.1
Block, B.2
Zheng, J.3
Barnett, B.4
Mohammed, E.5
Reshotko, M.6
Robertson, F.7
List, S.8
Young9
-
50
-
-
34548397237
-
Mode-locked silicon evanescent lasers
-
B. Koch, A. Fang, O. Cohen, and J. Bowers. 2007. Mode-locked silicon evanescent lasers. J. Optics Express 15, 18.
-
(2007)
J. Optics Express
, vol.15
, pp. 18
-
-
Koch, B.1
Fang, A.2
Cohen, O.3
Bowers, J.4
-
51
-
-
40249091437
-
Nd-rapid: A multidimensional scalable fault-tolerant optoelectronic interconnection for high performance computing systems
-
C. Kochar, A. Kodi, and A. Louri. 2007. Nd-rapid: A multidimensional scalable fault-tolerant optoelectronic interconnection for high performance computing systems. J. Optical Netw. 6, 5.
-
(2007)
J. Optical Netw.
, vol.6
, pp. 5
-
-
Kochar, C.1
Kodi, A.2
Louri, A.3
-
52
-
-
4644280258
-
Rapid: Reconfigurable and scalable all-photonic in-104 interconnect for distributed shared memory multiprocessors
-
A. Kodi and A. Louri. 2004. Rapid: Reconfigurable and scalable all-photonic in-104 interconnect for distributed shared memory multiprocessors. J. Light-Wave Technol. 22, 2101-2110.
-
(2004)
J. Light-Wave Technol.
, vol.22
, pp. 2101-2110
-
-
Kodi, A.1
Louri, A.2
-
55
-
-
21644462975
-
Carbon nanotubes for interconnect applications
-
F. Kreup, A. Graham, M. Liebau, G. Duesberg, R. Seidel, and E. Unger. 2004. Carbon nanotubes for interconnect applications. In Proceedings of the IEEE International Electron Devices Meeting (IEDM'04). 683-686.
-
(2004)
Proceedings of the IEEE International Electron Devices Meeting (IEDM'04)
, pp. 683-686
-
-
Kreup, F.1
Graham, A.2
Liebau, M.3
Duesberg, G.4
Seidel, R.5
Unger, E.6
-
58
-
-
79960813888
-
Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication
-
Z. Li, M. Mohamed, X. Chen, H. Zhou, A. Mickelson, L. Shang, and M. Vachharajani. 2011a. Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication. J. Emerging Technol. Comput. Syst. 7, 2.
-
(2011)
J. Emerging Technol. Comput. Syst.
, vol.7
, pp. 2
-
-
Li, Z.1
Mohamed, M.2
Chen, X.3
Zhou, H.4
Mickelson, A.5
Shang, L.6
Vachharajani, M.7
-
59
-
-
83655164004
-
Reliability modeling and management of nanophotonic on-chip networks
-
Z. Li, M. Mohamed, X. Chen, E. Dudley, K. Meng, L. Shang, A. Mickelson, R. Joseph, M. Vachharajani, B. Schwartz, and Y. Sun. 2011b. Reliability modeling and management of nanophotonic on-chip networks. IEEE Trans. VLSI Syst. 20, 1, 98-111.
-
(2011)
IEEE Trans. VLSI Syst.
, vol.20
, Issue.1
, pp. 98-111
-
-
Li, Z.1
Mohamed, M.2
Chen, X.3
Dudley, E.4
Meng, K.5
Shang, L.6
Mickelson, A.7
Joseph, R.8
Vachharajani, M.9
Schwartz, B.10
Sun, Y.11
-
60
-
-
0001381523
-
For a summary of work on quantum well electroabsorption and further discussion of qcse theory
-
D. Miller, J. Weiner, and D. Chemla 1986. For a summary of work on quantum well electroabsorption and further discussion of qcse theory. IEEE J. Quantum Electron. 22, 1816.
-
(1986)
IEEE J. Quantum Electron.
, vol.22
, pp. 1816
-
-
Miller, D.1
Weiner, J.2
Chemla, D.3
-
62
-
-
77957846969
-
Exploring the design of 64- and 256-core power efficient nanophotonic interconnect
-
R. Morris and A. Kodi. 2010. Exploring the design of 64- and 256-core power efficient nanophotonic interconnect. IEEE J. Select. Topics Quantum Electron. 16, 5, 1386-1393.
-
(2010)
IEEE J. Select. Topics Quantum Electron.
, vol.16
, Issue.5
, pp. 1386-1393
-
-
Morris, R.1
Kodi, A.2
-
64
-
-
33746930901
-
Its a small world after all: NoC performance optimization via long-range link insertion
-
U. Ogras and R. Marculescu. 2006. Its a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans. VLSI Syst. 14, 7, 693-706.
-
(2006)
IEEE Trans. VLSI Syst.
, vol.14
, Issue.7
, pp. 693-706
-
-
Ogras, U.1
Marculescu, R.2
-
65
-
-
36849063126
-
Research challenges for on-chip interconnection networks
-
J. Owens, W. Dally, R. Ho, D. Jayasimha, S. Keckler, and L.-S. Peh. 2007. Research challenges for on-chip interconnection networks. IEEE Micro 27, 5, 96-108.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 96-108
-
-
Owens, J.1
Dally, W.2
Ho, R.3
Jayasimha, D.4
Keckler, S.5
Peh, L.-S.6
-
66
-
-
27944477807
-
Analysis of intrachip electrical and optical fanout
-
A. Pappu and A. Apsel. 2005. Analysis of intrachip electrical and optical fanout. Appl. Optics 44, 30, 6361-6372.
-
(2005)
Appl. Optics
, vol.44
, Issue.30
, pp. 6361-6372
-
-
Pappu, A.1
Apsel, A.2
-
73
-
-
33947407658
-
Three-dimensional integrated circuits and the future of system-on-chip designs
-
R. Patti. 2006. Three-dimensional integrated circuits and the future of system-on-chip designs. Proc. IEEE 94, 6, 1214-1224.
-
(2006)
Proc. IEEE
, vol.94
, Issue.6
, pp. 1214-1224
-
-
Patti, R.1
-
74
-
-
25844507151
-
-
D. Pham, S. Asano, M. Bolliger, M. Day, H. Hofstee, C. Johns, J. Kahle, A. Kameyama, J. Keaty, Y. Masubuchi, M. Riley, D. Shippy, D. Stasiak, M. Suzuoki, M. Wang, J. Warnock, S. Weitzel, D. Wendel, T. Yamazaki, and K. Yazawa. 2005. The design and implementation of a first-generation cell processor. http://www.research.ibm.com/cellcompiler/papers/pham-ISSCC05.pdf.
-
(2005)
The Design and Implementation of a First-generation Cell Processor
-
-
Pham, D.1
Asano, S.2
Bolliger, M.3
Day, M.4
Hofstee, H.5
Johns, C.6
Kahle, J.7
Kameyama, A.8
Keaty, J.9
Masubuchi, Y.10
Riley, M.11
Shippy, D.12
Stasiak, D.13
Suzuoki, M.14
Wang, M.15
Warnock, J.16
Weitzel, S.17
Wendel, D.18
Yamazaki, T.19
Yazawa, K.20
more..
-
76
-
-
70350654414
-
Two high performance and low power serial communication interfaces for on-chip interconnects
-
M. Saneei, A. Afzali-Kusha, and M. Pedram. 2008. Two high performance and low power serial communication interfaces for on-chip interconnects. Canadian J. Electrical Comput. Engin. 34, 1/2, 49-56.
-
(2008)
Canadian J. Electrical Comput. Engin.
, vol.34
, Issue.1-2
, pp. 49-56
-
-
Saneei, M.1
Afzali-Kusha, A.2
Pedram, M.3
-
77
-
-
39749190102
-
160-gb/s, 16-channel full-duplex, single-chip cmos optical transceiver
-
C. Schow, F. Doany, O. Liboiron-Ladouceur, C. Baks, D. Kuchta, L. Schares, R. John, and J. Kash. 2007. 160-gb/s, 16-channel full-duplex, single-chip cmos optical transceiver. In Proceedings of the Optical Fiber Communication Conference.
-
(2007)
Proceedings of the Optical Fiber Communication Conference
-
-
Schow, C.1
Doany, F.2
Liboiron-Ladouceur, O.3
Baks, C.4
Kuchta, D.5
Schares, L.6
John, R.7
Kash, J.8
-
78
-
-
49149095791
-
Photonic networks-on chip for future generations of chip multiprocessors
-
A. Shacham, K. Bergman, and L. Carloni. 2008. Photonic networks-on chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 9, 1246-1260.
-
(2008)
IEEE Trans. Comput.
, vol.57
, Issue.9
, pp. 1246-1260
-
-
Shacham, A.1
Bergman, K.2
Carloni, L.3
-
81
-
-
84995687255
-
-
ST-Micro. 2014. http://www.st.com/.
-
(2014)
-
-
-
82
-
-
57849155822
-
A high-speed optical multi-drop bus for computer interconnections
-
th IEEE Symposium on High Performance Interconnects. 3-10.
-
(2008)
th IEEE Symposium on High Performance Interconnects
, pp. 3-10
-
-
Tan, M.1
Rosenberg, P.2
Jong-Souk, Y.3
McLaren, M.4
Mathai, S.5
Morris, T.6
Pei, K.7
Straznicky, J.8
Jouppi, N.9
Wang, S.10
-
83
-
-
85019606155
-
-
Tilera Corporation. 2007. Tilera multicore processors. http://www.tilera.com/products/processors.
-
(2007)
Tilera Multicore Processors
-
-
-
84
-
-
1242298603
-
Power dissipation in optical and metallic clock distribution networks in new vlsi technologies
-
G. Tosik, F. Gaffiot, Z. Lisik, I. O'Connor, and F. Tissafi-Drissi. 2004. Power dissipation in optical and metallic clock distribution networks in new vlsi technologies. Proc. IEEE Electron. Lett. 40, 3, 198-200.
-
(2004)
Proc. IEEE Electron. Lett.
, vol.40
, Issue.3
, pp. 198-200
-
-
Tosik, G.1
Gaffiot, F.2
Lisik, Z.3
O'Connor, I.4
Tissafi-Drissi, F.5
-
85
-
-
34548858682
-
An 80-tile 1.28 tflops network-on-chip in 65 nm cmos
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar. 2007. An 80-tile 1.28 tflops network-on-chip in 65 nm cmos. In Proceedings of the IEEE International Solid State Circuits Conference.
-
(2007)
Proceedings of the IEEE International Solid State Circuits Conference
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Iyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
86
-
-
52649100126
-
Corona: System implications of emerging nanophotonic technology
-
th Annual International Symposium on Computer Architecture (ISCA'08). 153-164.
-
(2008)
th Annual International Symposium on Computer Architecture (ISCA'08)
, pp. 153-164
-
-
Vantrease, D.1
Schreiber, R.2
Monchiero, M.3
McLaren, M.4
Jouppi, N.5
Fiorentino, M.6
Davis, A.7
Binkert, N.8
Beausoleil, R.9
Ahn, J.10
-
87
-
-
2942708124
-
Losses in single-mode silicon-on-insulator strip waveguides and bends
-
Y. Vlasov and S. McNab. 2004. Losses in single-mode silicon-on-insulator strip waveguides and bends. Optical Express 12, 8.
-
(2004)
Optical Express
, vol.12
, pp. 8
-
-
Vlasov, Y.1
McNab, S.2
-
88
-
-
41549156886
-
High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks
-
Y. Vlasov, W. Green, and F. Xia. 2008. High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks. Nature Photonics 2, 4.
-
(2008)
Nature Photonics
, vol.2
, pp. 4
-
-
Vlasov, Y.1
Green, W.2
Xia, F.3
-
89
-
-
84862309855
-
Opportunities and challenges of using plasmonic components in nanophotonic architectures
-
H. Wassel, D. Dai, L. Theogarajan, J. Dionne, M. Tiwari, J. Valamehr, F. Chong, and T. Sherwood. 2012. Opportunities and challenges of using plasmonic components in nanophotonic architectures. IEEE J. Emerg. Select. Topics Circ. Syst. 2, 2.
-
(2012)
IEEE J. Emerg. Select. Topics Circ. Syst.
, vol.2
, pp. 2
-
-
Wassel, H.1
Dai, D.2
Theogarajan, L.3
Dionne, J.4
Tiwari, M.5
Valamehr, J.6
Chong, F.7
Sherwood, T.8
-
91
-
-
2542430364
-
Pulsed wave interconnect
-
V. Wang, G. Pei, and E. Kan. 2004. Pulsed wave interconnect. IEEE Trans. VLSI Syst. 12, 5, 453-463.
-
(2004)
IEEE Trans. VLSI Syst.
, vol.12
, Issue.5
, pp. 453-463
-
-
Wang, V.1
Pei, G.2
Kan, E.3
-
92
-
-
67649088653
-
A 2gb/s high-speed scalable shift-register based on-chip serial communication design for soc applications
-
I. Wey, L. Chang, Y. Chen, S. Chang, and A. Wu. 2005. A 2gb/s high-speed scalable shift-register based on-chip serial communication design for soc applications. In Proceeding of the International Symposium on Circuits and Systems (ISCAS'05). 468-469.
-
(2005)
Proceeding of the International Symposium on Circuits and Systems (ISCAS'05)
, pp. 468-469
-
-
Wey, I.1
Chang, L.2
Chen, Y.3
Chang, S.4
Wu, A.5
-
95
-
-
34648831811
-
Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects
-
F. Xia, M. Rooks, L. Sekaric, and Y. Vlasov. 2007. Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects. Optics Express 15, 19, 11934-11941.
-
(2007)
Optics Express
, vol.15
, Issue.19
, pp. 11934-11941
-
-
Xia, F.1
Rooks, M.2
Sekaric, L.3
Vlasov, Y.4
-
96
-
-
81755178957
-
Elimination of cross-talk in silicon-on-insulator waveguide crossings with optimized angle
-
Y. Xie, J. Xu, J. Xu, and J. Zhang. 2011. Elimination of cross-talk in silicon-on-insulator waveguide crossings with optimized angle. Optical Engin. 50, 6.
-
(2011)
Optical Engin.
, vol.50
, pp. 6
-
-
Xie, Y.1
Xu, J.2
Xu, J.3
Zhang, J.4
-
97
-
-
19744378261
-
Micrometre-scale silicon electro-optic modulator
-
Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson. 2005. Micrometre-scale silicon electro-optic modulator. Nature Lett. 435, 325-327.
-
(2005)
Nature Lett.
, vol.435
, pp. 325-327
-
-
Xu, Q.1
Schmidt, B.2
Pradhan, S.3
Lipson, M.4
-
98
-
-
33846513913
-
12.5 Gbit/s carrier-injection-based silicon microring silicon modulators
-
Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson. 2007. 12.5 Gbit/s carrier-injection-based silicon microring silicon modulators. Optics Express 15, 2, 430-436.
-
(2007)
Optics Express
, vol.15
, Issue.2
, pp. 430-436
-
-
Xu, Q.1
Manipatruni, S.2
Schmidt, B.3
Shakya, J.4
Lipson, M.5
-
99
-
-
0033704034
-
Low-swing on-chip signaling techniques: Effectiveness and robustness
-
H. Zhang, V. George, and J. Rabaey. 2000. Low-swing on-chip signaling techniques: Effectiveness and robustness. IEEE Trans. VLSI Syst. 8, 3.
-
(2000)
IEEE Trans. VLSI Syst.
, vol.8
, pp. 3
-
-
Zhang, H.1
George, V.2
Rabaey, J.3
-
100
-
-
77956213274
-
A multilayer nanophotonic interconnection network for on-chip many-core communications
-
X. Zhang and A. Louri. 2011. A multilayer nanophotonic interconnection network for on-chip many-core communications. In Proceedings of the Design Automation Conference (DAC'11). 156-161.
-
(2011)
Proceedings of the Design Automation Conference (DAC'11)
, pp. 156-161
-
-
Zhang, X.1
Louri, A.2
-
101
-
-
49249107753
-
SD-MAC: Design and synthesis of a hardware-efficient collision-free qos-aware mac protocol for wireless network-on-chip
-
D. Zhao and Y. Wang. 2008. SD-MAC: Design and synthesis of a hardware-efficient collision-free qos-aware mac protocol for wireless network-on-chip. IEEE Trans. Comput. 57, 9, 1230-1245.
-
(2008)
IEEE Trans. Comput.
, vol.57
, Issue.9
, pp. 1230-1245
-
-
Zhao, D.1
Wang, Y.2
-
102
-
-
70350706106
-
Spectrum: A hybrid nanophotonic-electric onchip network
-
L. Zheng, A. Mickelson, L. Shang, M. Vachharajani, D. Filipovic, W. Park, and Y. Sun. 2009. Spectrum: A hybrid nanophotonic-electric onchip network. In Proceedings of the Design Automation Conference (DAC'09).
-
(2009)
Proceedings of the Design Automation Conference (DAC'09)
-
-
Zheng, L.1
Mickelson, A.2
Shang, L.3
Vachharajani, M.4
Filipovic, D.5
Park, W.6
Sun, Y.7
|