-
2
-
-
0035121216
-
Smart dust: communicating with a cubic-millimeter computer
-
B. Warneke, M. Last, B. Liebowitz, and K. Pister, "Smart dust: Communicating with a cubic-millimeter computer, " Computer, vol. 34, no. 1, pp. 44-51, Jan. 2001. (Pubitemid 32135808)
-
(2001)
Computer
, vol.34
, Issue.1
, pp. 44-51
-
-
Warneke, B.1
Last, M.2
Liebowitz, B.3
Pister, K.S.J.4
-
5
-
-
27744595750
-
Toward a smart grid: Power delivery for the 21st century
-
Sep.-Oct.
-
S. Massoud Amin and B. F. Wollenberg, "Toward a smart grid: Power delivery for the 21st century, " IEEE Power Energy Mag., vol. 3, no. 5, pp. 34-41, Sep.-Oct. 2005.
-
(2005)
IEEE Power Energy Mag.
, vol.3
, Issue.5
, pp. 34-41
-
-
Massoud Amin, S.1
Wollenberg, B.F.2
-
6
-
-
84962281827
-
Bell's law for the birth and death of computer classes
-
G. Bell, "Bell's law for the birth and death of computer classes, " IEEE Solid-State Circuits Soc. News, vol. 13, no. 4, 2008.
-
(2008)
IEEE Solid-State Circuits Soc. News
, vol.13
, Issue.4
-
-
Bell, G.1
-
7
-
-
78649814527
-
Ultra-low power circuit techniques for a new class of sub-mm3 sensor nodes
-
San Josè, CA, Sep.
-
Y. Lee, G. Chen, S. Hanson, D. Sylvester, and D. Blaauw, "Ultra-low power circuit techniques for a new class of sub-mm3 sensor nodes, " in Proc. CICC, San Josè, CA, Sep. 2010, pp. 1-8.
-
(2010)
Proc. CICC
, pp. 1-8
-
-
Lee, Y.1
Chen, G.2
Hanson, S.3
Sylvester, D.4
Blaauw, D.5
-
9
-
-
79955705568
-
A 1 cubic millimeter energy-autonomous wireless intraocular pressure monitor
-
G. Chen, H. Ghaed, R.-U. Haque, M. Wieckowski, Y. Kim, G. Kim, D. Fick, D. Kim, M. Seok, K. Wise, D. Blaauw, and D. Sylvester, "A 1 cubic millimeter energy-autonomous wireless intraocular pressure monitor, " in Proc. ISSCC, Feb. 2011.
-
(2011)
Proc. ISSCC, Feb.
-
-
Chen, G.1
Ghaed, H.2
Haque, R.-U.3
Wieckowski, M.4
Kim, Y.5
Kim, G.6
Fick, D.7
Kim, D.8
Seok, M.9
Wise, K.10
Blaauw, D.11
Sylvester, D.12
-
10
-
-
76349122220
-
Digitally assisted analog integrated circuits
-
Mar.
-
B. Murmann and B. Boser, "Digitally assisted analog integrated circuits, " ACM Queue, vol. 2, no. 1, pp. 64-71, Mar. 2004.
-
(2004)
ACM Queue
, vol.2
, Issue.1
, pp. 64-71
-
-
Murmann, B.1
Boser, B.2
-
12
-
-
51949107763
-
The phoenix processor: A 30 pW platform for sensor applications
-
Jun.
-
M. Seok, S. Hanson, Y.-S. Lin, Z. Foo, D. Kim, Y. Lee, N. Liu, D. Sylvester, and D. Blaauw, "The phoenix processor: A 30 pW platform for sensor applications, " in Proc. IEEE Symp. VLSI Circuits, Jun. 2008.
-
(2008)
Proc. IEEE Symp. VLSI Circuits
-
-
Seok, M.1
Hanson, S.2
Lin, Y.-S.3
Foo, Z.4
Kim, D.5
Lee, Y.6
Liu, N.7
Sylvester, D.8
Blaauw, D.9
-
16
-
-
1542605495
-
Full-chip subthreshold leakage power prediction and reduction techniques for sub-0. 18-CMOS
-
Mar.
-
S. Narendra, V. De, S. Borkar, D. Antoniadis, and A. Chandrakasan, "Full-chip subthreshold leakage power prediction and reduction techniques for sub-0. 18-CMOS, " IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 501-510, Mar. 2004.
-
(2004)
IEEE J. Solid-State Circuits
, vol.39
, Issue.3
, pp. 501-510
-
-
Narendra, S.1
De, V.2
Borkar, S.3
Antoniadis, D.4
Chandrakasan, A.5
-
17
-
-
77954887815
-
Understanding DC behavior of subthreshold CMOS logic through closed-form analysis
-
Jul.
-
M. Alioto, "Understanding DC behavior of subthreshold CMOS logic through closed-form analysis, " IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 7, pp. 1597-1607, Jul. 2010.
-
(2010)
IEEE Trans. Circuits Syst. I, Reg. Papers
, vol.57
, Issue.7
, pp. 1597-1607
-
-
Alioto, M.1
-
18
-
-
34247199942
-
Utilizing reverse short channel effect for optimal subthreshold circuit design
-
DOI 10.1145/1165573.1165603, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
-
T. Kim, H. Eom, J. Keane, and C. Kim, "Utilizing reverse short channel effect for optimal subthreshold circuit design, " in Proc. ISLPED 2006, pp. 127-130. (Pubitemid 46609723)
-
(2006)
Proceedings of the International Symposium on Low Power Electronics and Design
, vol.2006
, pp. 127-130
-
-
Kim, T.-H.1
Eom, H.2
Keane, J.3
Kim, C.4
-
19
-
-
0036858382
-
A 175-mV multiply-accumulate unit using an adaptive supply voltage and body bias architecture
-
DOI 10.1109/JSSC.2002.803957
-
J. Kao, J. M. Miyazaki, and A. P. Chandrakasan, "A 175 mV multiplyaccumulate DSP core using an adaptive supply voltage and body bias (ASB) architecture, " IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1545-1554, Nov. 2002. (Pubitemid 35432177)
-
(2002)
IEEE Journal of Solid-State Circuits
, vol.37
, Issue.11
, pp. 1545-1554
-
-
Kao, J.T.1
Miyazaki, M.2
Chandrakasan, A.P.3
-
20
-
-
37949022510
-
Weak inversion for ultimate low-power logic
-
C. Piguet, Ed. Boca Raton, FL: CRC
-
E. Vittoz, "Weak inversion for ultimate low-power logic, " in Low-Power Electronics Design, C. Piguet, Ed. Boca Raton, FL: CRC, 2005.
-
(2005)
Low-Power Electronics Design
-
-
Vittoz, E.1
-
23
-
-
84865222817
-
From energy-delay metrics to constraints on the design of digital circuits
-
to be published
-
M. Alioto, E. Consoli, and G. Palumbo, "From energy-delay metrics to constraints on the design of digital circuits, " Int. J. Circuit Theory Appl., 2011, to be published.
-
(2011)
Int. J. Circuit Theory Appl.
-
-
Alioto, M.1
Consoli, E.2
Palumbo, G.3
-
24
-
-
75649145360
-
Technologies for ultradynamic voltage scaling
-
Feb. 2010
-
A. Chandrakasan, D. C. Daly, D. F. Finchelstein, J. Kwong, Y. K. Ramadass, M. E. Sinangil, V. Sze, and N. Verma, "Technologies for ultradynamic voltage scaling, " Proc. IEEE, vol. 98, no. 2, pp. 191-214, Feb. 2010.
-
Proc. IEEE
, vol.98
, Issue.2
, pp. 191-214
-
-
Chandrakasan, A.1
Daly, D.C.2
Finchelstein, D.F.3
Kwong, J.4
Ramadass, Y.K.5
Sinangil, M.E.6
Sze, V.7
Verma, N.8
-
25
-
-
50649094237
-
A simple and accurate model of input capacitance for power estimation in CMOS logic
-
Dec.
-
M. Alioto, "A simple and accurate model of input capacitance for power estimation in CMOS logic, " in Proc. ICECS, Marrakech, Morocco, Dec. 2007, pp. 431-434.
-
(2007)
Proc. ICECS, Marrakech, Morocco
, pp. 431-434
-
-
Alioto, M.1
-
26
-
-
0015330654
-
Lon-implanted complementary MOS transistors in low-voltage circuits
-
Apr.
-
R. M. Swanson and J. D. Meindl, "Lon-implanted complementary MOS transistors in low-voltage circuits, " IEEE J. Solid-State Circuits, vol. 7, no. SSC-2, pp. 146-153, Apr. 1972.
-
(1972)
IEEE J. Solid-State Circuits
, vol.7
, Issue.SSC-2
, pp. 146-153
-
-
Swanson, R.M.1
Meindl, J.D.2
-
28
-
-
36949007563
-
Vtbalancing and device sizing towards high yield of sub-threshold static logic gates
-
Y. Pu, J. Pineda-De Gyvez, H. Corporaal, and Y. Ha, "Vtbalancing and device sizing towards high yield of sub-threshold static logic gates, " in Proc. ISLPED'07, pp. 355-358.
-
Proc. ISLPED'07
, pp. 355-358
-
-
Pu, Y.1
Pineda-De Gyvez, J.2
Corporaal, H.3
Ha, Y.4
-
29
-
-
0034860181
-
Low-power CMOS at Vdd=4kT/q
-
A. Bryant, J. Brown, P. Cottrell, M. Ketchen, J. Ellis-Monaghan, and J. Nowak, "Low-power CMOS at Vdd=4kT/q, " in Proc. Device Res. Conf., Jun. 2001, pp. 22-23. (Pubitemid 32806954)
-
(2001)
Annual Device Research Conference Digest
, pp. 22-23
-
-
Bryant, A.1
Brown, J.2
Cottrell, P.3
Ketchen, M.4
Ellis-Monaghan, J.5
Nowak, E.J.6
-
30
-
-
0037514607
-
Threshold-voltage balance for minimum supply operation
-
May
-
G. Ono and M. Miyazaki, "Threshold-voltage balance for minimum supply operation, " IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 830-833, May 2003.
-
(2003)
IEEE J. Solid-State Circuits
, vol.38
, Issue.5
, pp. 830-833
-
-
Ono, G.1
Miyazaki, M.2
-
32
-
-
77951879063
-
Understanding the effect of process variations on the delay of static and domino logic
-
May
-
M. Alioto, G. Palumbo, and M. Pennisi, "Understanding the effect of process variations on the delay of static and domino logic, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 5, pp. 697-710, May 2010.
-
(2010)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.18
, Issue.5
, pp. 697-710
-
-
Alioto, M.1
Palumbo, G.2
Pennisi, M.3
-
35
-
-
0035242870
-
Robust subthreshold logic for ultra-low power operation
-
DOI 10.1109/92.920822, Low Power Electronics and Design
-
H. Soeleman, K. Roy, and B. C. Paul, "Robust subthreshold logic for ultra-low power operation, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 9, no. 1, pp. 90-99, Jan. 2001. (Pubitemid 32922814)
-
(2001)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.9
, Issue.1
, pp. 90-99
-
-
Soeleman, H.1
Roy, K.2
Paul, B.C.3
-
36
-
-
57549091208
-
Increasing minimum operating voltage (VDDmin) with number of CMOS logic gates and experimental verification with up to 1mega-stage ring oscillators
-
T. Niiyama, Z. Piao, K. Ishida, M. Murakata, M. Takamiya, and T. Sakurai, "Increasing minimum operating voltage (VDDmin) with number of CMOS logic gates and experimental verification with up to 1mega-stage ring oscillators, " in Proc. ISLPED 2008.
-
(2008)
Proc. ISLPED
-
-
Niiyama, T.1
Piao, Z.2
Ishida, K.3
Murakata, M.4
Takamiya, M.5
Sakurai, T.6
-
37
-
-
49749084887
-
Dependence of minimum operating voltage (VDDmin) on block size of 90-nm CMOS ring oscillators and its implications in low power DFM
-
T. Niiyama, P. Zhe, K. Ishida, M. Murakata, M. Takamiya, and T. Sakurai, "Dependence of minimum operating voltage (VDDmin)) on block size of 90-nm CMOS ring oscillators and its implications in low power DFM, " in Proc. ISQED 2008.
-
(2008)
Proc. ISQED
-
-
Niiyama, T.1
Zhe, P.2
Ishida, K.3
Murakata, M.4
Takamiya, M.5
Sakurai, T.6
-
38
-
-
41549084662
-
Exploring variability and performance in a sub-200-mV processor
-
Apr.
-
S. Hanson, B. Zhai, M. Seok, B. Cline, K. Zhou, M. Singhal, M. Minuth, J. Olson, L. Nazhandali, T. Austin, D. Sylvester, and D. Blaauw, "Exploring variability and performance in a sub-200-mV processor, " IEEE J. Solid-State Circuits, vol. 43, no. 4, Apr. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.4
-
-
Hanson, S.1
Zhai, B.2
Seok, M.3
Cline, B.4
Zhou, K.5
Singhal, M.6
Minuth, M.7
Olson, J.8
Nazhandali, L.9
Austin, T.10
Sylvester, D.11
Blaauw, D.12
-
39
-
-
67249128728
-
Compact models considering incomplete voltage swing in complementary metal oxide semiconductor circuits at ultralow voltages: A circuit perspective on limits of switching energy
-
S. Gupta, A. Raychowdhury, and K. Roy, "Compact models considering incomplete voltage swing in complementary metal oxide semiconductor circuits at ultralow voltages: A circuit perspective on limits of switching energy, " J. Appl. Phys., vol. 105, p. 094901, 2009.
-
(2009)
J. Appl. Phys.
, vol.105
, pp. 094901
-
-
Gupta, S.1
Raychowdhury, A.2
Roy, K.3
-
41
-
-
80155143135
-
Impact of NMOS/PMOS imbalance in ultra-low voltage CMOS standard cells
-
M. Alioto, "Impact of NMOS/PMOS imbalance in ultra-low voltage CMOS standard cells, " in Proc. ECCTD, Linkoping, Sweden, Aug. 2011, pp. 557-561.
-
(2011)
Proc. ECCTD, Linkoping, Sweden, Aug.
, pp. 557-561
-
-
Alioto, M.1
-
42
-
-
85008035969
-
Minimum energy tracking loop with embedded DC-DC converter enabling ultra-low-voltage operation down to 250 mV in 65 nm CMOS
-
Jan.
-
Y. Ramadass and A. Chandrakasan, "Minimum energy tracking loop with embedded DC-DC converter enabling ultra-low-voltage operation down to 250 mV in 65 nm CMOS, " IEEE J. Solid-State Circuits, vol. 43, no. 1, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
-
-
Ramadass, Y.1
Chandrakasan, A.2
-
43
-
-
11944273157
-
A 180-mV subthreshold FFT processor using a minimum energy design methodology
-
DOI 10.1109/JSSC.2004.837945, IEEE 2004 ISSCC: Digital, Technology Directions, and Signal Processing
-
A. Wang and A. Chandrakasan, "A 180-mV subthreshold FFT processor using a minimum energy design methodology, " IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 310-319, Jan. 2005. (Pubitemid 40099941)
-
(2005)
IEEE Journal of Solid-State Circuits
, vol.40
, Issue.1
, pp. 310-319
-
-
Wang, A.1
Chandrakasan, A.2
-
44
-
-
34548813602
-
A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme
-
T.-H. Kim, J. Liu, J. Keane, and C. H. Kim, "A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme, " in Proc. ISSCC 2007, pp. 330-331.
-
(2007)
Proc. ISSCC
, pp. 330-331
-
-
Kim, T.-H.1
Liu, J.2
Keane, J.3
Kim, C.H.4
-
45
-
-
54049143356
-
A variation-tolerant sub-200 mV 6-T subthreshold SRAM
-
Oct.
-
B. Zhai, S. Hanson, D. Blaauw, and D. Sylvester, "A variation-tolerant sub-200 mV 6-T subthreshold SRAM, " IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2338-2347, Oct. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.10
, pp. 2338-2347
-
-
Zhai, B.1
Hanson, S.2
Blaauw, D.3
Sylvester, D.4
-
46
-
-
0742286681
-
Ultra-low-power DLMS adaptive filter for hearing aid applications
-
Dec.
-
C. H.-I. Kim, H. Soeleman, and K. Roy, "Ultra-low-power DLMS adaptive filter for hearing aid applications, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 11, no. 6, pp. 1058-1067, Dec. 2003.
-
(2003)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.11
, Issue.6
, pp. 1058-1067
-
-
Kim, C.H.-I.1
Soeleman, H.2
Roy, K.3
-
47
-
-
79955725331
-
A voltage-scalable biomedical signal processor running ECG using 13 pJ/cycle at 1 MHz and 0. 4 v
-
San Francisco, CA
-
M. Ashouei, J. Hulzink, M. Konijnenburg, J. Zhou, F. Duarte, A. Breeschoten, J. Huisken, J. Stuyt, H. de Groot, F. Barat, J. David, and J. Van Ginderdeuren, "A voltage-scalable biomedical signal processor running ECG using 13 pJ/cycle at 1 MHz and 0. 4 V, " in Proc. ISSCC 2011, San Francisco, CA, pp. 332-333.
-
(2011)
Proc. ISSCC
, pp. 332-333
-
-
Ashouei, M.1
Hulzink, J.2
Konijnenburg, M.3
Zhou, J.4
Duarte, F.5
Breeschoten, A.6
Huisken, J.7
Stuyt, J.8
De Groot, H.9
Barat, F.10
David, J.11
Van Ginderdeuren, J.12
-
48
-
-
77958019331
-
Microwatt embedded processor platform for medical system-on-chip applications
-
S. R. Sridhara, M. DiRenzo, S. Lingam, S.-J. Lee, R. Blazquez, J. Maxey, S. Ghanem, Y.-H. Lee, R. Abdallah, P. Singh, and M. Goe, "Microwatt embedded processor platform for medical system-on-chip applications, " in Proc. Symp. VLSI, 2010.
-
(2010)
Proc. Symp. VLSI
-
-
Sridhara, S.R.1
Direnzo, M.2
Lingam, S.3
Lee, S.-J.4
Blazquez, R.5
Maxey, J.6
Ghanem, S.7
Lee, Y.-H.8
Abdallah, R.9
Singh, P.10
Goe, M.11
-
49
-
-
79955731779
-
An 82 αa/MHz microcontroller with embedded FeRAM for energyharvesting applications
-
San Francisco, CA
-
M. Zwerg, A. Baumann, R. Kuhn, M. Arnold, R. Nerlich, M. Herzog, R. Ledwa, C. Sichert, V. Rzehak, P. Thanigai, and B. O. Eversmann, "An 82 αA/MHz microcontroller with embedded FeRAM for energyharvesting applications, " in Proc. ISSCC 2011, San Francisco, CA, pp. 334-335.
-
(2011)
Proc. ISSCC
, pp. 334-335
-
-
Zwerg, M.1
Baumann, A.2
Kuhn, R.3
Arnold, M.4
Nerlich, R.5
Herzog, M.6
Ledwa, R.7
Sichert, C.8
Rzehak, V.9
Thanigai, P.10
Eversmann, B.O.11
-
50
-
-
58149234982
-
A 65 nm sub-Vt microcontroller with integrated SRAM and switched capacitor DC-DC converter
-
Jan.
-
J. Kwong, Y. K. Ramadass, N. Verma, and A. Chandrakasan, "A 65 nm sub-Vt microcontroller with integrated SRAM and switched capacitor DC-DC converter, " IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 115-126, Jan. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.1
, pp. 115-126
-
-
Kwong, J.1
Ramadass, Y.K.2
Verma, N.3
Chandrakasan, A.4
-
51
-
-
70449435316
-
A 2. 6-μMsub-threshold mixed-signal ECG SoC
-
S. C. Jocke, J. F. Bolus, S. N. Wooters, A. D. Jurik, A. C. Weaver, T. N. Blalock, and B. H. Calhoun, "A 2. 6-μMsub-threshold mixed-signal ECG SoC, " in Proc. VLSI Circits, 2009.
-
(2009)
Proc. VLSI Circits
-
-
Jocke, S.C.1
Bolus, J.F.2
Wooters, S.N.3
Jurik, A.D.4
Weaver, A.C.5
Blalock, T.N.6
Calhoun, B.H.7
-
52
-
-
34347222026
-
Subthreshold logical effort: A systematic framework for optimal subthreshold device sizing
-
DOI 10.1145/1146909.1147022, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
J. Keane, H. Eom, T.-H. Kim, S. Sapatnekar, and C. Kim, "Subthreshold logical effort: A systematic framework for optimal subthreshold device sizing, " in Proc. DAC 2006, pp. 425-428. (Pubitemid 47113935)
-
(2006)
Proceedings - Design Automation Conference
, pp. 425-428
-
-
Keane, J.1
Eom, H.2
Kim, T.-H.3
Sapatnekar, S.4
Kim, C.5
-
53
-
-
17044396646
-
Device sizing for minimum energy operation in subthreshold circuits
-
Oct.
-
B. H. Calhoun, A. Wang, and A. Chandrakasan, "Device sizing for minimum energy operation in subthreshold circuits, " in Proc. CICC, Oct. 2004.
-
(2004)
Proc. CICC
-
-
Calhoun, B.H.1
Wang, A.2
Chandrakasan, A.3
-
54
-
-
79955707786
-
A 28 nm 0. 6 v low-power DSP for mobile applications
-
San Francisco, CA
-
G. Gammie, N. Ickes, M. E. Sinangil, R. Rithe, J. Gu, A. Wang, H. Mair, S. Datla, B. Rong, S. Honnavara-Prasad, L. Ho, G. Baldwin, D. Buss, A. P. Chandrakasan, and U. Ko, "A 28 nm 0. 6 V low-power DSP for mobile applications, " in Proc. ISSCC 2011, San Francisco, CA, pp. 132-133.
-
(2011)
Proc. ISSCC
, pp. 132-133
-
-
Gammie, G.1
Ickes, N.2
Sinangil, M.E.3
Rithe, R.4
Gu, J.5
Wang, A.6
Mair, H.7
Datla, S.8
Rong, B.9
Honnavara-Prasad, S.10
Ho, L.11
Baldwin, G.12
Buss, D.13
Chandrakasan, A.P.14
Ko, U.15
-
56
-
-
84855714028
-
Leakage energy reduction in cache memory by data compression
-
K. Tanaka and T. Kawahara, "Leakage energy reduction in cache memory by data compression, " ACM Sigarch Comput. Archit. News, vol. 35, no. 5, pp. 17-24, 2007.
-
(2007)
ACM Sigarch Comput. Archit. News
, vol.35
, Issue.5
, pp. 17-24
-
-
Tanaka, K.1
Kawahara, T.2
-
57
-
-
33748545925
-
A feasibility study of subthreshold SRAM across technology generations
-
DOI 10.1109/ICCD.2005.7, 1524185, Proceedings - 2005 IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2005
-
A. Raychowdhury, S. Mukhopadhyay, and K. Roy, "A feasibility study of subthreshold SRAM across technology generations, " in Proc. ICCD 2005, pp. 417-422. (Pubitemid 44362422)
-
(2005)
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors
, vol.2005
, pp. 417-422
-
-
Raychowdhury, A.1
Mukhopadhyay, S.2
Roy, K.3
-
58
-
-
85008054031
-
A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy
-
Jan.
-
N. Verma and A. Chandrakasan, "A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy, " IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 141-149, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 141-149
-
-
Verma, N.1
Chandrakasan, A.2
-
59
-
-
33847724635
-
A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation
-
DOI 10.1109/JSSC.2006.891726
-
B. Calhoun and A. Chandrakasan, "A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation, " IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 680-688, Mar. 2007. (Pubitemid 46376044)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.3
, pp. 680-688
-
-
Calhoun, B.H.1
Chandrakasan, A.P.2
-
60
-
-
34748830993
-
A 160 mV robust schmitt trigger based subthreshold SRAM
-
DOI 10.1109/JSSC.2007.897148
-
J. P. Kulkarni, K. Kim, and K. Roy, "A 160 mV robust schmitt trigger based subthreshold SRAM, " IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2303-2313, Oct. 2007. (Pubitemid 47483011)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.10
, pp. 2303-2313
-
-
Kulkarni, J.P.1
Kim, K.2
Roy, K.3
-
61
-
-
59349118349
-
A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
Feb.
-
I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, "A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS, " IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 650-658, Feb. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.2
, pp. 650-658
-
-
Chang, I.J.1
Kim, J.-J.2
Park, S.P.3
Roy, K.4
-
62
-
-
77953258164
-
A 100 MHz to 1 GHz, 0. 35 v to 1. 5 v supply 256X64 SRAM block using symmetrized 9T SRAM cell with controlled read
-
S. A. Verkila, S. K. Bondada, and B. S. Amrutur, "A 100 MHz to 1 GHz, 0. 35 V to 1. 5 V supply 256X64 SRAM block using symmetrized 9T SRAM cell with controlled read, " in Proc. Int. Conf. VLSI Design, 2008.
-
(2008)
Proc. Int. Conf. VLSI Design
-
-
Verkila, S.A.1
Bondada, S.K.2
Amrutur, B.S.3
-
63
-
-
31344473488
-
A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications
-
DOI 10.1109/JSSC.2005.859030
-
K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, and H. Kobatake, "A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications, " IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 113-121, Jan. 2006. (Pubitemid 43145968)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 113-121
-
-
Takeda, K.1
Hagihara, Y.2
Aimoto, Y.3
Nomura, M.4
Nakazawa, Y.5
Ishii, T.6
Kobatake, H.7
-
64
-
-
74049150723
-
Asymmetric sizing in a 45 nm5T SRAM to improve read stability over 6T
-
S. Nalam and B. H. Calhoun, "Asymmetric sizing in a 45 nm5T SRAM to improve read stability over 6T, " in Proc. CICC '09, pp. 709-712.
-
Proc. CICC '09
, pp. 709-712
-
-
Nalam, S.1
Calhoun, B.H.2
-
65
-
-
34547254624
-
Analysis and optimization of sleep modes in subthreshold circuit design
-
DOI 10.1109/DAC.2007.375253, 4261272, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
M. Seok, S. Hanson, D. Sylvester, and D. Blaauw, "Analysis and optimization of sleep modes in subthreshold circuit design, " in Proc. DAC 2007, pp. 694-699. (Pubitemid 47130054)
-
(2007)
Proceedings - Design Automation Conference
, pp. 694-699
-
-
Seok, M.1
Hanson, S.2
Sylvester, D.3
Blaauw, D.4
-
66
-
-
77956008372
-
Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits
-
D. Bol, C. Hocquet, D. Flandre, and J.-D. Legat, "Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits, " in Proc. ISCAS 2010, pp. 1484-1487.
-
(2010)
Proc. ISCAS
, pp. 1484-1487
-
-
Bol, D.1
Hocquet, C.2
Flandre, D.3
Legat, J.-D.4
-
67
-
-
77649112185
-
An ultra-lowenergy multi-standard JPEG co-processor in 65 nm CMOS with sub/near threshold supply voltage
-
Mar.
-
Y. Pu, J. Pineda-De Gyvez, H. Corporaal, and Y. Ha, "An ultra-lowenergy multi-standard JPEG co-processor in 65 nm CMOS with sub/near threshold supply voltage, " IEEE J. Solid-State Circuits, vol. 45, no. 3, pp. 668-680, Mar. 2010.
-
(2010)
IEEE J. Solid-State Circuits
, vol.45
, Issue.3
, pp. 668-680
-
-
Pu, Y.1
Pineda-De Gyvez, J.2
Corporaal, H.3
Ha, Y.4
-
68
-
-
79955746511
-
A 0. 27 v 30 MHz 17. 7 nJ/transform 1024-pt complex FFT core with superpipelining
-
San Francisco, CA
-
M. Seok, D. Jeon, C. Chakrabarti, D. Blaauw, and D. Sylvester, "A 0. 27 V 30 MHz 17. 7 nJ/transform 1024-pt complex FFT core with superpipelining, " in Proc. ISSCC 2011, San Francisco, CA, pp. 342-343.
-
(2011)
Proc. ISSCC
, pp. 342-343
-
-
Seok, M.1
Jeon, D.2
Chakrabarti, C.3
Blaauw, D.4
Sylvester, D.5
-
70
-
-
51949107763
-
Phoenix processor: A 30 pW platform for sensor applications
-
S. Mingoo, S. Hanson, Y.-S. . Lin, Z. Foo, D. Kim, Y. Lee, N. Liu, D. Sylvester, and D. Blaauw, "Phoenix processor: A 30 pW platform for sensor applications, " in Proc. VLSI Circuits 2008.
-
(2008)
Proc. VLSI Circuits
-
-
Mingoo, S.1
Hanson, S.2
Lin, Y.-S.3
Foo, Z.4
Kim, D.5
Lee, Y.6
Liu, N.7
Sylvester, D.8
Blaauw, D.9
-
71
-
-
68549090734
-
Energyefficient subthreshold processor design
-
Aug.
-
B. Zhai, S. Pant, L. Nazhandali, S. Hanson, J. Olson, A. Reeves, M. Minuth, R. Helfand, T. Austin, D. Sylvester, and D. Blaauw, " Energyefficient subthreshold processor design, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 8, pp. 1127-1137, Aug. 2009.
-
(2009)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.17
, Issue.8
, pp. 1127-1137
-
-
Zhai, B.1
Pant, S.2
Nazhandali, L.3
Hanson, S.4
Olson, J.5
Reeves, A.6
Minuth, M.7
Helfand, R.8
Austin, T.9
Sylvester, D.10
Blaauw, D.11
-
72
-
-
0026853681
-
Low-power CMOS digital design
-
Apr.
-
A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, "Low-power CMOS digital design, " IEEE J. Solid State Circuits, vol. 27, no. 4, pp. 473-484, Apr. 1992.
-
(1992)
IEEE J. Solid State Circuits
, vol.27
, Issue.4
, pp. 473-484
-
-
Chandrakasan, A.P.1
Sheng, S.2
Brodersen, R.W.3
-
73
-
-
0035706021
-
Soft digital signal processing
-
DOI 10.1109/92.974895, PII S1063821001074212
-
R. Hegde and N. R. Shanbhag, "Soft digital signal processing, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 9, no. 6, pp. 813-823, Dec. 2001. (Pubitemid 34126213)
-
(2001)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.9
, Issue.6
, pp. 813-823
-
-
Hegde, R.1
Shanbhag, N.R.2
-
74
-
-
1242263405
-
A voltage overscaled low-power digital filter IC
-
Feb.
-
R. Hegde and N. R. Shanbhag, "A voltage overscaled low-power digital filter IC, " IEEE J. Solid-State Circuits, vol. 39, no. 2, pp. 388-391, Feb. 2004.
-
(2004)
IEEE J. Solid-State Circuits
, vol.39
, Issue.2
, pp. 388-391
-
-
Hegde, R.1
Shanbhag, N.R.2
-
75
-
-
15044339297
-
Razor: Circuit-level correction of timing errors for low-power operation
-
DOI 10.1109/MM.2004.85
-
D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N. S. Kim, and K. Flautner, "Razor: Circuit-level correction of timing errors for low-power operation, " IEEE Micro, vol. 24, no. 6, pp. 10-20, Nov.-Dec. 2004. (Pubitemid 40377382)
-
(2004)
IEEE Micro
, vol.24
, Issue.6
, pp. 10-20
-
-
Ernst, D.1
Das, S.2
Lee, S.3
Blaauw, D.4
Austin, T.5
Mudge, T.6
Kim, N.S.7
Flautner, K.8
-
76
-
-
58149218298
-
RazorII: In situ error detection and correction for PVT and ser tolerance
-
Jan.
-
S. Das, C. Tokunaga, S. Pant, W. H. Ma, S. Kalaiselvan, K. Lai, D. M. Bull, and D. Blaauw, "RazorII: In situ error detection and correction for PVT and SER tolerance, " IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 32-48, Jan. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.1
, pp. 32-48
-
-
Das, S.1
Tokunaga, C.2
Pant, S.3
Ma, W.H.4
Kalaiselvan, S.5
Lai, K.6
Bull, D.M.7
Blaauw, D.8
-
77
-
-
58149267845
-
Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance
-
Jan.
-
A. Bowman, J. W. Tschanz, N. Kim, J. C. Lee, C. B. Wilkerson, S.-L. Lu, T. Karnik, and V. De, "Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance, " IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 49-63, Jan. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.1
, pp. 49-63
-
-
Bowman, A.1
Tschanz, J.W.2
Kim, N.3
Lee, J.C.4
Wilkerson, C.B.5
Lu, S.-L.6
Karnik, T.7
De, V.8
-
78
-
-
74049129898
-
Adaptive performance compensation with in-situ timing error prediction for subthreshold circuits
-
H. Fuketa, M. Hashimoto, Y. Mitsuyama, and T. Onoye, "Adaptive performance compensation with in-situ timing error prediction for subthreshold circuits, " in Proc. CICC '09, pp. 215-218.
-
Proc. CICC '09
, pp. 215-218
-
-
Fuketa, H.1
Hashimoto, M.2
Mitsuyama, Y.3
Onoye, T.4
-
79
-
-
54249104938
-
CRISTA: A new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation
-
Nov.
-
S. Ghosh, S. Bhunia, and K. Roy, "CRISTA: A new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation, " IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 26, no. 11, pp. 1947-1956, Nov. 2007.
-
(2007)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.26
, Issue.11
, pp. 1947-1956
-
-
Ghosh, S.1
Bhunia, S.2
Roy, K.3
-
80
-
-
78650879825
-
A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation
-
Jan. 2011
-
D. Bull, S. Das, K. Shivashankar, G. S. Dasika, K. Flautner, and D. Blaauw, "A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation, " IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 18-31, Jan. 2011.
-
IEEE J. Solid-State Circuits
, vol.46
, Issue.1
, pp. 18-31
-
-
Bull, D.1
Das, S.2
Shivashankar, K.3
Dasika, G.S.4
Flautner, K.5
Blaauw, D.6
-
81
-
-
15044362543
-
Reducing pipeline energy demands with local DVS and dynamic retiming
-
11.4, Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
-
S. Lee, S. Das, T. Pham, T. Austin, D. Blaauw, and T. Mudge, "Reducing pipeline energy demands with local DVS and dynamic retiming, " in Proc. ISLPED 2004, pp. 319-324. (Pubitemid 40454733)
-
(2004)
Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
, pp. 319-324
-
-
Lee, S.1
Das, S.2
Pham, T.3
Austin, T.4
Blaauw, D.5
Mudge, T.6
-
82
-
-
46749108096
-
Subthreshold source-coupled logic circuits for ultra-low-power applications
-
Jul.
-
A. Tajalli, E. J. Brauer, Y. Leblebici, and E. Vittoz, "Subthreshold source-coupled logic circuits for ultra-low-power applications, " IEEE J. Solid-State Circuits, vol. 43, no. 7, pp. 1699-1710, Jul. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.7
, pp. 1699-1710
-
-
Tajalli, A.1
Brauer, E.J.2
Leblebici, Y.3
Vittoz, E.4
-
83
-
-
62749197560
-
Improving power-delay performance of ultralow-power subthreshold SCL circuits
-
Feb.
-
A. Tajalli, M. Alioto, and Y. Leblebici, "Improving power-delay performance of ultralow-power subthreshold SCL circuits, " IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 2, pp. 127-131, Feb. 2009.
-
(2009)
IEEE Trans. Circuits Syst. II, Exp. Briefs
, vol.56
, Issue.2
, pp. 127-131
-
-
Tajalli, A.1
Alioto, M.2
Leblebici, Y.3
-
84
-
-
70350180857
-
Analysis and design of ultra-low power subthreshold MCML gates
-
May
-
M. Alioto and Y. Leblebici, "Analysis and design of ultra-low power subthreshold MCML gates, " in Proc. ISCAS, Taipei, Taiwan, May 2009, pp. 2557-2560.
-
(2009)
Proc. ISCAS, Taipei, Taiwan
, pp. 2557-2560
-
-
Alioto, M.1
Leblebici, Y.2
-
85
-
-
84855681420
-
Design of high performance subthreshold source-coupled logic circuits
-
Sep.
-
A. Tajalli, M. Alioto, E. J. Brauer, and Y. Leblebici, "Design of high performance subthreshold source-coupled logic circuits, " in Proc. PATMOS, Lisbon, Portugal, Sep. 2008, pp. 21-30.
-
(2008)
Proc. PATMOS, Lisbon, Portugal
, pp. 21-30
-
-
Tajalli, A.1
Alioto, M.2
Brauer, E.J.3
Leblebici, Y.4
-
86
-
-
51749085103
-
Improving the power-delay product in SCL circuits using source follower output stage
-
Seattle, WA May
-
A. Tajalli, F. K. Gurkaynak, Y. Leblebici, M. Alioto, and E. J. Brauer, "Improving the power-delay product in SCL circuits using source follower output stage, " in Proc. ISCAS, Seattle, WA, May 2008, pp. 145-148.
-
(2008)
Proc. ISCAS
, pp. 145-148
-
-
Tajalli, A.1
Gurkaynak, F.K.2
Leblebici, Y.3
Alioto, M.4
Brauer, E.J.5
-
87
-
-
70350211411
-
Circuit techniques to reduce the supply voltage limit of subthreshold MCML circuits
-
Rhodes Island, Greece Oct. INVITED
-
M. Alioto and Y. Leblebici, "Circuit techniques to reduce the supply voltage limit of subthreshold MCML circuits, " in Proc. VLSI-SoC, Rhodes Island, Greece, Oct. 2008, pp. 239-244, INVITED.
-
(2008)
Proc. VLSI-SoC
, pp. 239-244
-
-
Alioto, M.1
Leblebici, Y.2
-
88
-
-
70349736169
-
Interests and limitations of technology scaling for subthreshold logic
-
Oct.
-
D. Bol, R. Ambroise, D. Flandre, and J.-D. Legat, "Interests and limitations of technology scaling for subthreshold logic, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 10, pp. 1508-1519, Oct. 2009.
-
(2009)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.17
, Issue.10
, pp. 1508-1519
-
-
Bol, D.1
Ambroise, R.2
Flandre, D.3
Legat, J.-D.4
-
89
-
-
37749034552
-
Nanometer device scaling in subthreshold logic and SRAM
-
Jan.
-
S. Hanson, M. Seok, D. Sylvester, and D. Blaauw, "Nanometer device scaling in subthreshold logic and SRAM, " IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 175-185, Jan. 2008.
-
(2008)
IEEE Trans. Electron Devices
, vol.55
, Issue.1
, pp. 175-185
-
-
Hanson, S.1
Seok, M.2
Sylvester, D.3
Blaauw, D.4
-
90
-
-
13344280331
-
Device optimization for digital subthreshold logic operation
-
DOI 10.1109/TED.2004.842538
-
B. Paul, A. Raychowdhury, and K. Roy, "Device optimization for digital subthreshold logic operation, " IEEE Trans. Electron Devices, vol. 52, no. 2, pp. 237-247, Feb. 2005. (Pubitemid 40195971)
-
(2005)
IEEE Transactions on Electron Devices
, vol.52
, Issue.2
, pp. 237-247
-
-
Paul, B.C.1
Raychowdhury, A.2
Roy, K.3
-
91
-
-
57549084861
-
Optimal technology selection for minimizing energy and variability in low voltage applications
-
M. Seok, D. Sylvester, and D. Blaauw, "Optimal technology selection for minimizing energy and variability in low voltage applications, " in Proc. ISLPED 2008.
-
(2008)
Proc. ISLPED
-
-
Seok, M.1
Sylvester, D.2
Blaauw, D.3
|