-
1
-
-
77952270559
-
-
EUV Lithography, Vivek Bakshi ed., SP1E, Press, ISBN, 9780819469649
-
EUV Lithography, Vivek Bakshi ed., SP1E, Press, ISBN, 9780819469649, (2008)
-
(2008)
-
-
-
2
-
-
67149102181
-
EUV system: moving toward production
-
H. Meiling et al.,EUV system: moving toward production, Proc. SPIE, 7271, 727102 (2009)
-
(2009)
Proc. SPIE
, vol.7271
, pp. 727102
-
-
Meiling, H.1
-
3
-
-
67149118060
-
Development of resist process for 5-KV multi-beam technology
-
B. Icard, et al., Development of resist process for 5-KV multi-beam technology, Proc. SPIE, 7271, 72710R (2009)
-
(2009)
Proc. SPIE
, vol.7271
-
-
Icard, B.1
-
4
-
-
67149097703
-
PML2: the maskless multibeam solution for the 22nm node and beyond
-
C. Klein, et al., PML2: the maskless multibeam solution for the 22nm node and beyond, Proc. SPIE, 7271, 72710N (2009)
-
(2009)
Proc. SPIE
, vol.7271
-
-
Klein, C.1
-
5
-
-
67149147445
-
REBL nanowriter: Reflective Electron Beam Lithography
-
P. Petric et al., REBL nanowriter: Reflective Electron Beam Lithography, Proc. SPIE, 7271, 727107(2009)
-
(2009)
Proc. SPIE
, vol.7271
, pp. 727107
-
-
Petric, P.1
-
6
-
-
67149134707
-
On the integration of memristors with CMOS using anoimprint lithography
-
Q. Xia, et al., On the integration of memristors with CMOS using anoimprint lithography, Proc. SPIE, 7271, 727106 (2009)
-
(2009)
Proc. SPIE
, vol.7271
, pp. 727106
-
-
Xia, Q.1
-
7
-
-
67149091231
-
Step and flash imprint lithography for manufacturing patterned media
-
C. Brooks et al.. Step and flash imprint lithography for manufacturing patterned media, Proc. SPIE, 7271, 72711L (2009)
-
(2009)
Proc. SPIE
, vol.7271
, pp. 72711
-
-
Brooks, C.1
-
8
-
-
51249087108
-
A Novel Resist Freeze Process for Double Imaging
-
D.J. Abdallah, et al., A Novel Resist Freeze Process for Double Imaging, J. Photopol. Sci. Tech., 21(5), 655, (2008)
-
(2008)
J. Photopol. Sci. Tech.
, vol.21
, Issue.5
, pp. 655
-
-
Abdallah, D.J.1
-
9
-
-
65849306288
-
Demonstration of 32nm half-pitch electrical testable NAND FLASH patterns using self-aligned double patterning
-
S. Sun, et al., Demonstration of 32nm half-pitch electrical testable NAND FLASH patterns using self-aligned double patterning, Proc. SPIE, 7274, 72740D (2009)
-
(2009)
Proc. SPIE
, vol.7274
-
-
Sun, S.1
-
10
-
-
51249120513
-
Development of materials and processes for double patterning toward 32-nm node 193-nm immersion lithography process
-
S. Tarutani, et al, Development of materials and processes for double patterning toward 32-nm node 193-nm immersion lithography process, J. Photopol. Sci. Tech., 21(5), 685, (2008)
-
(2008)
J. Photopol. Sci. Tech.
, vol.21
, Issue.5
, pp. 685
-
-
Tarutani, S.1
-
11
-
-
65849393681
-
32nm and below logic patterning using optimized illumination and double patterning
-
M.C. Smayling, et al, 32nm and below logic patterning using optimized illumination and double patterning, Proc. SPIE, 7274, 72740K (2009)
-
(2009)
Proc. SPIE
, vol.7274
-
-
Smayling, M.C.1
-
12
-
-
65849183407
-
Advances and challenges in dual-tone development process optimization
-
C. Fonseca, et al, Advances and challenges in dual-tone development process optimization, Proc. SPIE, 1274, 727401 (2009)
-
(2009)
Proc. SPIE
, vol.1274
, pp. 727401
-
-
Fonseca, C.1
-
13
-
-
51249105988
-
Double Patterning Materials for sub-40nm Application
-
Y.Anno, et al., Double Patterning Materials for sub-40nm Application, J. Photopol. Sci. Tech., 21(5), 691,(2008)
-
(2008)
J. Photopol. Sci. Tech.
, vol.21
, Issue.5
, pp. 691
-
-
Anno, Y.1
-
14
-
-
35148869676
-
Nonchemically Amplified Resists for Deep-UV lithography
-
R. Ganesan et al. Nonchemically Amplified Resists for Deep-UV lithography, Proc. SPIE, 6519, 65192J(2007)
-
(2007)
Proc. SPIE
, vol.6519
-
-
Ganesan, R.1
-
15
-
-
57349090778
-
Non-chemically amplified resists for 193 nm lithography
-
I. Nishimura, et al., Non-chemically amplified resists for 193 nm lithography, Proc. SPIE, 6923, 69231C (2008)
-
(2008)
Proc. SPIE
, vol.6923
-
-
Nishimura, I.1
-
16
-
-
56349136513
-
A New Type of Eco Friendly Resist Based on Nonchemically Amplified System
-
J-Y. Park et al., A New Type of Eco Friendly Resist Based on Nonchemically Amplified System, J. Polymer Sci. A, 7534, (2008)
-
(2008)
J. Polymer Sci. A
, vol.7534
-
-
Park, J.-Y.1
-
17
-
-
65849278217
-
Non-CA resists for 193 nm immersion lithography: effects of chemical structure on sensitivity
-
I. Blakey, et al., Non-CA resists for 193 nm immersion lithography: effects of chemical structure on sensitivity, Proc. SPIE, 7273, 72733X (2009)
-
(2009)
Proc. SPIE
, vol.7273
-
-
Blakey, I.1
-
18
-
-
70350680748
-
Study of nanoimprint lithography for applications toward 22nm node CMOS devices
-
I. Yoneda, Mikami, S. Ota, T. Koshiba, T. Ito, M. Nakasugi, T. H. Tatsuhiko, Study of nanoimprint lithography for applications toward 22nm node CMOS devices, Proc. SPIE, 6921, 692104(2008)
-
(2008)
Proc. SPIE
, vol.6921
, pp. 692104
-
-
Yoneda, I.1
Ota, M.S.2
Koshiba, T.3
Ito, T.4
Nakasugi, M.5
Tatsuhiko, T.H.6
-
19
-
-
4444318326
-
.Impact of Latent Image Quality on Line Edge Roughness in Electron Beam Lithography
-
Y. Masaki, et al. .Impact of Latent Image Quality on Line Edge Roughness in Electron Beam Lithography, J. Applied Phys., 43, Issue 6B, pp. 3739 (2004)
-
(2004)
J. Applied Phys.
, vol.43
, Issue.6 B
, pp. 3739
-
-
Masaki, Y.1
-
20
-
-
65849248744
-
Integration of EUV lithography in the fabrication of 22-nm node devices
-
O. Wood, et al, Integration of EUV lithography in the fabrication of 22-nm node devices, Proc. SPIE, 7271, 727104 (2009)
-
(2009)
Proc. SPIE
, vol.7271
, pp. 727104
-
-
Wood, O.1
-
21
-
-
77952262256
-
Semiconductor Technology: A Convergence of Technology and Business Models, Plenary Scission
-
B. S. Meyerson, Semiconductor Technology: A Convergence of Technology and Business Models, Plenary Scission, SPIE Advanced Lithography (2009)
-
(2009)
SPIE Advanced Lithography
-
-
Meyerson, B.S.1
-
22
-
-
77952281557
-
-
http://www.semiconductor.net/article/CA6553758.html
-
-
-
-
23
-
-
58149139365
-
Extreme-ultraviolet microexposure tool at 0.5 NA for sub-16 nm lithography
-
M. Goldstein, et al, "Extreme-ultraviolet microexposure tool at 0.5 NA for sub-16 nm lithography," Opt. Lett., 33, 2995-2997 (2008)
-
(2008)
Opt. Lett.
, vol.33
, pp. 2995-2997
-
-
Goldstein, M.1
-
24
-
-
77952262476
-
Reflective Optical System for a photolithography, Scanner Field Projector
-
WO/2008/063825, G02B 27/18
-
C. Manish, and H, Russ, Reflective Optical System for a photolithography, Scanner Field Projector, Patent application WO/2008/063825, G02B 27/18 (2006)
-
(2006)
Patent application
-
-
Manish, C.1
Russ, H.2
-
25
-
-
67149140516
-
Demonstration of full field patterning of 32 nm test chips using EUVL
-
G.Vandentopl, et al., Demonstration of full field patterning of 32 nm test chips using EUVL, Proc. SPIE, 7271, 727116 (2009)
-
(2009)
Proc. SPIE
, vol.7271
, pp. 727116
-
-
Vandentopl, G.1
-
26
-
-
22144483788
-
Line Edge Roughness in Chemically Amplified Resist: Speculation, Simulation and Application
-
N. Yukio, Line Edge Roughness in Chemically Amplified Resist: Speculation, Simulation and Application, J. Photopol. Sci. Technol., 18 (4) 457-465, (2005)
-
(2005)
J. Photopol. Sci. Technol.
, vol.18
, Issue.4
, pp. 457-465
-
-
Yukio, N.1
-
27
-
-
0034768090
-
Understanding Molecular Level Effects during Post Exposure Processing
-
G. Schmid, et al., Understanding Molecular Level Effects during Post Exposure Processing, Proc. SPIE, 4345 (2001)
-
(2001)
Proc. SPIE
, pp. 4345
-
-
Schmid, G.1
-
28
-
-
17144368056
-
Chemical Amplification Resists for Microlithography
-
H. Ito, Chemical Amplification Resists for Microlithography, Adv. Polym. Sci., 172: 37-245 (2005)
-
(2005)
Adv. Polym. Sci.
, vol.172
, pp. 37-245
-
-
Ito, H.1
-
29
-
-
65849365049
-
Development of New Phenylcalix[4]resorcinarene
-
M. Echigo, D. Oguro, Development of New Phenylcalix[4]resorcinarene, Proc. SPIE, 7273 72732Q-1 (2009)
-
(2009)
Proc. SPIE
, vol.7273
-
-
Echigo, M.1
Oguro, D.2
-
30
-
-
57349129352
-
Water Developable Negative Tone Single Molecule Resists: High Sensitivity Non-Chemically Amplified Resists
-
R. A. Lawson, C-T Lee, W. Yueh, L. Tolbert, C.L. Henderson, Water Developable Negative Tone Single Molecule Resists: High Sensitivity Non-Chemically Amplified Resists, Proc. SPIE, 6923 69231T-2 (2008)
-
(2008)
Proc. SPIE
, vol.6923
-
-
Lawson, R.A.1
Lee, C.-T.2
Yueh, W.3
Tolbert, L.4
Henderson, C.L.5
-
31
-
-
0036030912
-
Collapse behavior of single layer 193 and 157 nm resists: Use of surfactants in the rinse to realize the sub 130 nm nodes
-
S. Hien et al., Collapse behavior of single layer 193 and 157 nm resists: Use of surfactants in the rinse to realize the sub 130 nm nodes, Proc. SPIE, 4690 (2002)
-
(2002)
Proc. SPIE
, pp. 4690
-
-
Hien, S.1
-
32
-
-
35649017569
-
Progress in EUV Photoresist Technology
-
T. I. Wallow, et al., Progress in EUV Photoresist Technology, Proc. SPIE, 6533, 653317(2007)
-
(2007)
Proc. SPIE
, vol.6533
, pp. 653317
-
-
Wallow, T.I.1
-
33
-
-
24644469033
-
Overcoming Pattern Collapse of Ultra High Resolution Dense Lines Obtained with EUV Resists
-
A. Jove, et al., Overcoming Pattern Collapse of Ultra High Resolution Dense Lines Obtained with EUV Resists, Proc. SPIE, 5733, 720 (2005)
-
(2005)
Proc. SPIE
, vol.5733
, pp. 720
-
-
Jove, A.1
-
34
-
-
65849212824
-
Pattern Transfer Process Development for EUVL
-
D. Kawamura et al., Pattern Transfer Process Development for EUVL, Proc. SPIE, 7273,727310-1,(2009)
-
(2009)
Proc. SPIE
, vol.7273
, pp. 727310-727311
-
-
Kawamura, D.1
-
35
-
-
35148838609
-
32 nm Pattern Collapse Modeling with Radial Distance and Rinse Speed
-
J-S. Kim, 32 nm Pattern Collapse Modeling with Radial Distance and Rinse Speed, Proc. SPIE,6519, 65193Y, (2007)
-
(2007)
Proc. SPIE
, vol.6519
-
-
Kim, J.-S.1
-
36
-
-
0141834762
-
Effects of Processing Parameters on Line Width Roughness
-
B.J. Rice et al., Effects of Processing Parameters on Line Width Roughness, Proc. SPIE, 5039, 384 (2003)
-
(2003)
Proc. SPIE
, vol.5039
, pp. 384
-
-
Rice, B.J.1
-
37
-
-
0036030913
-
Integration of UTR processes into MPU IC manufacturing flows
-
J. Cobb, et al., "Integration of UTR processes into MPU IC manufacturing flows," Proc. SPIE, 4690, 277-286, (2002)
-
(2002)
Proc. SPIE
, vol.4690
, pp. 277-286
-
-
Cobb, J.1
-
38
-
-
50149111332
-
LUP for Understanding Trends in EUV Resist Performance
-
LUP for Understanding Trends in EUV Resist Performance, J. Photopol. Sci. Tech., 21(3), 429, (2008)
-
(2008)
J. Photopol. Sci. Tech.
, vol.21
, Issue.3
, pp. 429
-
-
Gronheid, R.1
-
39
-
-
65849233850
-
EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs
-
E.S. Putna, EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs, Proc. SPIE, 7273, 72731L (2009)
-
(2009)
Proc. SPIE
, vol.7273
-
-
Putna, E.S.1
-
40
-
-
35148898247
-
Some Non-resist Component Contributions to LER and LWR in 193 nm Lithography
-
T. Kudo et al, Some Non-resist Component Contributions to LER and LWR in 193 nm Lithography, Proc. SPIE, 6519, 651941, (2007).
-
(2007)
Proc. SPIE
, vol.6519
, pp. 651941
-
-
Kudo, T.1
-
41
-
-
62449234696
-
Current benchmarking results of EUV resist at Selete
-
D. Kawamura et al., Current benchmarking results of EUV resist at Selete, Proc. SPIE, 7140, 714008,(2008)
-
(2008)
Proc. SPIE
, vol.7140
, pp. 714008
-
-
Kawamura, D.1
-
42
-
-
65849133843
-
Feasibility study of non-topcoat resist for 22nm node devices
-
K Sho et al., Feasibility study of non-topcoat resist for 22nm node devices, Proc. SPIE, 7273, 72733B, (2009)
-
(2009)
Proc. SPIE
, vol.7273
-
-
Sho, K.1
-
43
-
-
50149106307
-
A Resist Materials study for LWR and Resolution improvement in EUV Lithography
-
K. Yamashita, et al., A Resist Materials study for LWR and Resolution improvement in EUV Lithography, j. Photopol. Sci. Tech., 21(3), 439
-
j. Photopol. Sci. Tech.
, vol.21
, Issue.3
, pp. 439
-
-
Yamashita, K.1
-
44
-
-
25144499519
-
Resist Blur and Line Edge Roughness
-
G.M Gallatin, Resist Blur and Line Edge Roughness, Proc of SPIE, 5754, 38, (2004)
-
(2004)
Proc of SPIE
, vol.5754
, pp. 38
-
-
Gallatin, G.M.1
-
45
-
-
77952244627
-
Patterning Fidelity on Low-Energy Multiple-Electron-Beam Direct Write Lithography
-
S.M. Chang, S.J. Lin, C.A. Lin, J.H. Chen, T.S. Gau, B. J. Lin, P. Veltmanb, R. Hanfoug, E. Slot, M. J. Wieland, and B.J. Kampherbeek, Patterning Fidelity on Low-Energy Multiple-Electron-Beam Direct Write Lithography, Proc. SPIE, 6921, 69211R, (2008)
-
(2008)
Proc. SPIE
, vol.6921
-
-
Chang, S.M.1
Lin, S.J.2
Lin, C.A.3
Chen, J.H.4
Gau, T.S.5
Lin, B.J.6
Veltmanb, P.7
Hanfoug, R.8
Slot, E.9
Wieland, M.J.10
Kampherbeek, B.J.11
-
46
-
-
77952245081
-
Principles of Photolithography
-
H. Levinson, Principles of Photolithography, SPIE Press, 2001
-
(2001)
SPIE Press
-
-
Levinson, H.1
-
48
-
-
38849163066
-
Patterned wafer defect density analysis of step and flash imprint lithography
-
I. McMackin, W. Martin, J. Perez, K. Selinidis, J. Maltabes, F. Xu, D. J. Resnick, S. V. Sreenivasan, Patterned wafer defect density analysis of step and flash imprint lithography, J. Vac. Sci. Technol., B 26, 151 (2008)
-
(2008)
J. Vac. Sci. Technol., B
, vol.26
, pp. 151
-
-
McMackin, I.1
Martin, W.2
Perez, J.3
Selinidis, K.4
Maltabes, J.5
Xu, F.6
Resnick, D.J.7
Sreenivasan, S.V.8
-
49
-
-
67149125975
-
Estimation of Cost Comparison of Lithography Technologies at the 22 nm Half-pitch Node
-
A. Wüest, A. J. Hazelton, G. Hughes, Estimation of Cost Comparison of Lithography Technologies at the 22 nm Half-pitch Node, Proc. SPIE, 7271, 72710Y, (2009)
-
(2009)
Proc. SPIE
, vol.7271
-
-
Wüest, A.1
Hazelton, A.J.2
Hughes, G.3
-
50
-
-
35148840123
-
Double patterning design split implementation and validation for the 32nm node
-
M. Drapeau, V, Wiaux, E. Hendrickx, S. Verhaegen, T. Machida, Double patterning design split implementation and validation for the 32nm node, Proc. SPIE, 6521, 652109 (2007)
-
(2007)
Proc. SPIE
, vol.6521
, pp. 652109
-
-
Drapeau, M.1
Wiaux, V.2
Hendrickx, E.3
Verhaegen, S.4
Machida, T.5
-
52
-
-
51549115631
-
-
M. Hori, T. Nagai, A. Nakamura, T. Abe, G. Wakamatsu, T. Kakizawa, Y. Anno, M. Sugiura, S. Kusumoto, Y. Yamaguchi, T. Shimokawa, Sub-40nm Half-Pitch Double Patterning with Resist Freezing Process Proc. SPIE, 6923, 69230H, (2008)
-
(2008)
Sub-40nm Half-Pitch Double Patterning with Resist Freezing Process Proc. SPIE
, vol.6923
-
-
Hori, M.1
Nagai, T.2
Nakamura, A.3
Abe, T.4
Wakamatsu, G.5
Kakizawa, T.6
Anno, Y.7
Sugiura, M.8
Kusumoto, S.9
Yamaguchi, Y.10
Shimokawa, T.11
-
53
-
-
67349283526
-
Materials for double patterning strategies: Development and application
-
D. Perret, J. Simon, S. Gaugiran, C. Cutler, T. Cardolaccia, A. Pikon, I. Guerin, C. Lapcyrc, S. Derrough, C. Szmanda, P. Trefonas, Materials for double patterning strategies: Development and application, Micro. Engineering in press, (2009)
-
(2009)
Micro. Engineering in press
-
-
Perret, D.1
Simon, J.2
Gaugiran, S.3
Cutler, C.4
Cardolaccia, T.5
Pikon, A.6
Guerin, I.7
Lapcyrc, C.8
Derrough, S.9
Szmanda, C.10
Trefonas, P.11
-
54
-
-
65849167245
-
2 6T-SRAM Cell
-
2 6T-SRAM Cell," IEDM Proc, p. 625. (2008)
-
(2008)
IEDM Proc.
, pp. 625
-
-
Haran, B.S.1
Kumar, L.2
Adam, L.3
Chang, J.4
Kanakasbapathy, B.S.5
Horak, D.6
Fan, S.7
Chen, J.8
-
55
-
-
35148844696
-
Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool
-
Woo-Yung Jung et al., "Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool," Proc. SPIE, 6520-48 (2007)
-
(2007)
Proc. SPIE
, pp. 6520-6548
-
-
Jung, W.-Y.1
-
56
-
-
65849385973
-
22nm Half-Pitch Patterning by CVD Spacer Self Alignment Double Patterning (SADP)
-
C.Bencher et al., "22nm Half-Pitch Patterning by CVD Spacer Self Alignment Double Patterning (SADP)," Proc. SPIE, 6924-169(2007)
-
(2007)
Proc. SPIE
, pp. 6924-7169
-
-
Bencher, C.1
-
57
-
-
65849148606
-
Advanced self-aligned double patterning development for sub-30-nm DRAM manufacturing
-
W. Shiu, H.g J. Liu, J.S. Wu, T-L. Tseng, C.Te Liao, CM. Liao, J. Liu, T. Wang, Advanced self-aligned double patterning development for sub-30-nm DRAM manufacturing, Proc. SPIE, 7214, 72740E (2009)
-
(2009)
Proc. SPIE
, vol.7214
-
-
Shiu, W.1
g, H.2
Liu, J.3
Wu, J.S.4
Tseng, T.-L.5
Te Liao, C.6
Liao, C.M.7
Liu, J.8
Wang, T.9
-
58
-
-
77952256862
-
-
http://www.itrs.net/Links/2008ITRS/Home2008.htm
-
-
-
-
59
-
-
65849528344
-
Design Rule Scaling: Taking the CPU toward the 16nm node
-
C. Bencher, H. Dai, Y. Chen, ed
-
C. Bencher, H. Dai, Y. Chen, ed Design Rule Scaling: Taking the CPU toward the 16nm node, Proc. SPIE, 7274, 72740G-1, (2009)
-
(2009)
Proc. SPIE
, vol.7274
-
-
-
60
-
-
80455128695
-
Low-variability negative and iterative spacer processes for sub-30-nm lines and holes
-
A. Carlson, T-J. King Liu, Low-variability negative and iterative spacer processes for sub-30-nm lines and holes, J. Micro/Nanolith. MEMS MOEMS, 8, 1, 011009, (2009)
-
(2009)
J. Micro/Nanolith. MEMS MOEMS
, vol.8
, Issue.1
, pp. 011009
-
-
Carlson, A.1
King Liu, T.-J.2
-
61
-
-
65849183407
-
Advances and Challenges in Dual-Tone Development Process Optimization
-
C. Fonseca, M. Somervell, S. Scheer, W. Printza, K. Nafusb, S. Hatakeyamab, Y. Kuwahara, T. Niwa, S. Bernard, R. Gronheid, Advances and Challenges in Dual-Tone Development Process Optimization, Proc. SPIE, 7274,727401-1,(2009)
-
(2009)
Proc. SPIE
, vol.7274
, pp. 727401-1727401
-
-
Fonseca, C.1
Somervell, M.2
Scheer, S.3
Printza, W.4
Nafusb, K.5
Hatakeyamab, S.6
Kuwahara, Y.7
Niwa, T.8
Bernard, S.9
Gronheid, R.10
-
63
-
-
45549103032
-
Predicting lithography costs - guidance for £ 32 nm patterning solutions
-
Andrew J. Hazelton et al., "Predicting lithography costs - guidance for £ 32 nm patterning solutions," Proc. SPIE, 7028-128 (2008).
-
(2008)
Proc. SPIE
, pp. 7028-7128
-
-
Andrew, J.1
Hazelton2
-
64
-
-
77952284973
-
-
Advancing Moore's Law with Pitch Division, Sematech Workshop on Novel Extensions to Optical Lithography, San Francisco, Ca, USA 9/12/
-
Y. Borodovsky, Advancing Moore's Law with Pitch Division, Sematech Workshop on Novel Extensions to Optical Lithography, San Francisco, Ca, USA 9/12/2007
-
(2007)
-
-
Borodovsky, Y.1
-
65
-
-
77952251623
-
Someday Chips might be made with X-ray, Until then, Double patterning lithography will be the only Game in Town
-
Nov
-
C.A. Mack, Someday Chips might be made with X-ray, Until then, Double patterning lithography will be the only Game in Town, IEEE Spec, 47, Nov. (2008)
-
(2008)
IEEE Spec.
, vol.47
-
-
Mack, C.A.1
-
66
-
-
45449092368
-
An Analysis of double exposure lithography options
-
S. Lee, J. Byers, K. Jen, P. Zimmerman, B. Rice, N.J. Turro, C. G. Willson,. An Analysis of double exposure lithography options, Proc. SPIE, 6924, 69242A-69242A-1 (2008)
-
(2008)
Proc. SPIE
, vol.6924
-
-
Lee, S.1
Byers, J.2
Jen, K.3
Zimmerman, P.4
Rice, B.5
Turro, N.J.6
Willson, C.G.7
-
67
-
-
77952260676
-
-
th International Symp. on Immersion Lithography, The Hague
-
th International Symp. on Immersion Lithography, The Hague, (2008)
-
(2008)
Toward the Design of a Sequential Two Photon Photoacid Generator for Double Exposure Photolithography
-
-
O'Connor, N.A.1
Berro, A.2
Lancaster, J.R.3
Gu, X.4
Jockusch, S.5
Nagai, T.6
Ogata, T.7
Lee, S.8
Zimmerman P.⊥9
Willson, C.G.10
Turro, N.J.11
-
68
-
-
65849416098
-
Double-exposure materials for pitch division with 193nm lithography requirements, results
-
R. Bristol, D. Shykind, S. Kim, Y. Borodovsky, E. Schwartz, C. Turner, G. Masson, K. Min, K. Esswein, J.M. Blackwell, N. Suetin, Double-exposure materials for pitch division with 193nm lithography requirements, results, Proc. SPIE, 7273, 727307(2009)
-
(2009)
Proc. SPIE
, vol.7273
, pp. 727307
-
-
Bristol, R.1
Shykind, D.2
Kim, S.3
Borodovsky, Y.4
Schwartz, E.5
Turner, C.6
Masson, G.7
Min, K.8
Esswein, K.9
Blackwell, J.M.10
Suetin, N.11
-
69
-
-
0022493649
-
Approaches to the design of radiation-sensitive polymeric imaging systems with improved sensitivity and resolution
-
C.G. Willson, H. Ito et al. Approaches to the design of radiation-sensitive polymeric imaging systems with improved sensitivity and resolution. J. Electrochem. Soc. 133(1), 181 (1983)
-
(1983)
J. Electrochem. Soc.
, vol.133
, Issue.1
, pp. 181
-
-
Willson, C.G.1
Ito, H.2
-
70
-
-
77952252323
-
New UV Resists with Negative or Positive Tone
-
C.G. Willson, J.M.J Frechet, "New UV Resists with Negative or Positive Tone," Proc.IEEE, 92-93 (1982)
-
(1982)
Proc. IEEE
, pp. 92-93
-
-
Willson, C.G.1
Frechet, J.M.J.2
-
71
-
-
0020938226
-
Chemical Amplification in the Design of Dry Developing Resist Materials
-
Ito, Hiroshi; Willson, C. Grant "Chemical Amplification in the Design of Dry Developing Resist Materials," Poly Eng.& Sci,. 23(18) 1012-1018(1983)
-
(1983)
Poly Eng.& Sci.
, vol.23
, Issue.18
, pp. 1012-1018
-
-
Ito, H.1
Willson, C.G.2
-
72
-
-
77952279429
-
-
University of Texas, Austin, TX
-
C.R. Chambers., Doctorial Thesis, University of Texas, Austin, TX (2005)
-
(2005)
Doctorial Thesis
-
-
Chambers, C.R.1
-
73
-
-
2342516126
-
Synthesis Properties of diazopiperidones for use in Nonchemically Amplified Deep UV Photoresists
-
P.I Tatersall, D. Breslin, S.M. Grayson, W.H. Heath, K Lou, C.L. McAdams, D. McKean, B Rathsack, C.G. Willson., Synthesis and Properties of diazopiperidones for use in Nonchemically Amplified Deep UV Photoresists, Chem. Mater., 16, 1770,(2004)
-
(2004)
Chem. Mater.
, vol.16
, pp. 1770
-
-
Tatersall, P.I.1
Breslin, D.2
Grayson, S.M.3
Heath, W.H.4
Lou, K.5
McAdams, C.L.6
McKean, D.7
Rathsack, B.8
Willson, C.G.9
-
74
-
-
35148852769
-
Photo-deprotection Resist Based on Photolysis of o-Nitrobenzyl Phenol Ether; Challenge to half-pitch 22 nm using Near-field Lithography
-
T. Ito, A. Terao, Y. Inao, T. Yamaguchi and N. Mizutani, Photo-deprotection Resist Based on Photolysis of o-Nitrobenzyl Phenol Ether; Challenge to half-pitch 22 nm using Near-field Lithography, Proc. SPIE, 6519, 65190J-1 (2007)
-
(2007)
Proc. SPIE
, vol.6519
-
-
Ito, T.1
Terao, A.2
Inao, Y.3
Yamaguchi, T.4
Mizutani, N.5
-
76
-
-
0003937505
-
Diazonaphthoquinone-Based Resists
-
Bellingham, WA
-
R. Dammel, Diazonaphthoquinone-Based Resists, SPIE Press, Bellingham, WA (1993)
-
(1993)
SPIE Press
-
-
Dammel, R.1
-
78
-
-
0024305085
-
Thermally developable, positive resist systems with high sensitivity
-
H Ito, R. Schwalm, Thermally developable, positive resist systems with high sensitivity. J. Electrochem. Soc, 1989;136(l):24l-5.
-
(1989)
J. Electrochem. Soc.
, vol.136
, Issue.1
-
-
Ito, H.1
Schwalm, R.2
-
79
-
-
0020938226
-
Chemical amplification in the design of dry developing resist materials
-
H. Ito, C.G. Willson, Chemical amplification in the design of dry developing resist materials, Pol. Eng. Sci., 23 (18) 1012-1018 (2004)
-
(2004)
Pol. Eng. Sci.
, vol.23
, Issue.18
, pp. 1012-1018
-
-
Ito, H.1
Willson, C.G.2
-
80
-
-
84985580827
-
The synthesis and evaluation of cyclic olefin sulfone copolymers and terpolymcrs as electron beam resists
-
Gipstein E, Moreau W, et al. The synthesis and evaluation of cyclic olefin sulfone copolymers and terpolymcrs as electron beam resists. J. Appl. Polym. Sci., 1977;21(3):677-88.
-
(1977)
J. Appl. Polym. Sci.
, vol.21
, Issue.3
, pp. 677-688
-
-
Gipstein, E.1
Moreau, W.2
-
81
-
-
56349136513
-
A New Type of Eco Friendly Resist Based on Nochemically Amplified System
-
467534
-
J.H Park, J.M. Yun, J-B. Kim, A New Type of Eco Friendly Resist Based on Nochemically Amplified System, J. Polym. Sci. A, 467534, (2008)
-
(2008)
J. Polym. Sci. A
-
-
Park, J.H.1
Yun, J.M.2
Kim, J.-B.3
-
82
-
-
36248968620
-
LER transfer from a mask to wafers
-
H. Tanabe, G. Yoshizawa, Y. Liu, V.L. Tolani, K. Kojima, N.Hayashi LER transfer from a mask to wafers, Proc. SPIE, 6607, 66071H (2007)
-
(2007)
Proc. SPIE
, vol.6607
-
-
Tanabe, H.1
Yoshizawa, G.2
Liu, Y.3
Tolani, V.L.4
Kojima, K.5
Hayashi, N.6
-
83
-
-
3843092676
-
EUV resist imaging below 50 nm using coherent spatial filtering techniques
-
M. D. S. Eric L. Snow, K.A. Goldberg, P. Naulleau, H. Cao, M. Chandhok, A. Liddle, E. Anderson, J. Bokor, EUV resist imaging below 50 nm using coherent spatial filtering techniques, Proc. SPIE, 5374, (2004)
-
(2004)
Proc. SPIE
, vol.5374
-
-
Eric, M.D.S.1
Snow, L.2
Goldberg, K.A.3
Naulleau, P.4
Cao, H.5
Chandhok, M.6
Liddle, A.7
Anderson, E.8
Bokor, J.9
-
84
-
-
35148831266
-
Sub-10-nm structures written in ultra-thin HSQ resist layers using electron-beam lithography
-
A.E. Grigorescu, M.C. van der Krogt, C.W. Hagen, Sub-10-nm structures written in ultra-thin HSQ resist layers using electron-beam lithography, Proc. SPIE, 6519, 65194A (2007)
-
(2007)
Proc. SPIE
, vol.6519
-
-
Grigorescu, A.E.1
van der Krogt, M.C.2
Hagen, C.W.3
-
85
-
-
57349189444
-
Development and evaluation of 193nm immersion generation-three fluid candidates
-
P. A. Zimmerman, J. Byers, B. Rice, C. K. Ober, E.P. Giannelis, R. Rodriguez, D. Wang, N. O'Connor, X. Lei, N. J. Turro, V. Liberman, S. Palmacci, M. Rothschild, N. Lafferty, B. W. Smith, Development and evaluation of 193nm immersion generation-three fluid candidates, Proc. SPIE, 6923, 69230A (2008)
-
(2008)
Proc. SPIE
, vol.6923
-
-
Zimmerman, P.A.1
Byers, J.2
Rice, B.3
Ober, C.K.4
Giannelis, E.P.5
Rodriguez, R.6
Wang, D.7
O'Connor, N.8
Lei, X.9
Turro, N.J.10
Liberman, V.11
Palmacci, S.12
Rothschild, M.13
Lafferty, N.14
Smith, B.W.15
-
86
-
-
67349180205
-
High resolution, high sensitivity inorganic resists
-
J. Stowers, D.A. Keszler, High resolution, high sensitivity inorganic resists, Micro. Eng. (2009)
-
(2009)
Micro. Eng.
-
-
Stowers, J.1
Keszler, D.A.2
|