-
1
-
-
66549114709
-
-
Available
-
[Online]. Available: http://www.affymetrix.com/index.affx
-
-
-
-
3
-
-
0141740757
-
Solving difficult instances of Boolean satisfiability in the presence of symmetry
-
Sep
-
F. A. Aloul, A. Ramani, I. L. Markov, and K. A. Sakallah, "Solving difficult instances of Boolean satisfiability in the presence of symmetry," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 22, no. 9, pp. 1117-1137, Sep. 2003.
-
(2003)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.22
, Issue.9
, pp. 1117-1137
-
-
Aloul, F.A.1
Ramani, A.2
Markov, I.L.3
Sakallah, K.A.4
-
4
-
-
56149104549
-
Floral morphogenesis: Stochastic explorations of a gene network epigenetic landscape
-
E. R. Alvarez-Buylla, A. Chaos, M. Aldana, M. Benitez, Y. Cortes-Poza, C. Espinosa-Soto, D. A. Hartasánchez, R. B. Lotto, D. Malkin, G. J. Escalera Santos, and P. Padilla-Longoria, "Floral morphogenesis: Stochastic explorations of a gene network epigenetic landscape," PLoS ONE, vol. 3, no. 11, p. e3626, 2008.
-
(2008)
PLoS ONE
, vol.3
, Issue.11
-
-
Alvarez-Buylla, E.R.1
Chaos, A.2
Aldana, M.3
Benitez, M.4
Cortes-Poza, Y.5
Espinosa-Soto, C.6
Hartasánchez, D.A.7
Lotto, R.B.8
Malkin, D.9
Escalera Santos, G.J.10
Padilla-Longoria, P.11
-
5
-
-
34047170421
-
Contrasting a NoC and a traditional interconnect fabric with layout awareness
-
Mar. 6-10
-
F. Angiolini, P. Meloni, S. Carta, L. Benini, and L. Raffo, "Contrasting a NoC and a traditional interconnect fabric with layout awareness," in Des., Autom. Test Eur. Conf., Mar. 6-10, 2006, pp. 124-129.
-
(2006)
Des., Autom. Test Eur. Conf
, pp. 124-129
-
-
Angiolini, F.1
Meloni, P.2
Carta, S.3
Benini, L.4
Raffo, L.5
-
6
-
-
43049181319
-
Network-on-chip design and synthesis outlook
-
May
-
D. Atienza, F. Angiolini, S. Murali, A. Pullini, L. Benini, and G. De Micheli, "Network-on-chip design and synthesis outlook," Integration - VLSI J., vol. 41, no. 3, pp. 340-359, May 2008.
-
(2008)
Integration - VLSI J
, vol.41
, Issue.3
, pp. 340-359
-
-
Atienza, D.1
Angiolini, F.2
Murali, S.3
Pullini, A.4
Benini, L.5
De Micheli, G.6
-
7
-
-
33744509927
-
Engineering life: Building a fab for biology
-
Jun
-
D. Bake, G. Church, J. Collins, D. Endy, J. Jacobson, J. Keasling, P. Modrich, C. Smolke, and R. Weiss, "Engineering life: Building a fab for biology," Sci. Amer., vol. 294, no. 6, pp. 44-51, Jun. 2006.
-
(2006)
Sci. Amer
, vol.294
, Issue.6
, pp. 44-51
-
-
Bake, D.1
Church, G.2
Collins, J.3
Endy, D.4
Jacobson, J.5
Keasling, J.6
Modrich, P.7
Smolke, C.8
Weiss, R.9
-
8
-
-
27144503350
-
Bridging dimensions: Demultiplexing ultrahigh-density nanowire circuits
-
Oct. 21
-
R. Beckman, E. Johnston-Halperin, Y. Luo, J. Green, and J. Heath, "Bridging dimensions: Demultiplexing ultrahigh-density nanowire circuits," Science, vol. 310, no. 5747, pp. 465-468, Oct. 21, 2005.
-
(2005)
Science
, vol.310
, Issue.5747
, pp. 465-468
-
-
Beckman, R.1
Johnston-Halperin, E.2
Luo, Y.3
Green, J.4
Heath, J.5
-
9
-
-
0032674656
-
Policy optimization for dynamic power management
-
Jun
-
L. Benini, A. Bogliolo, G. Paleologo, and G. De Micheli, "Policy optimization for dynamic power management," IEEE Trans. Comput. -Aided Design Integr. Circuits Syst., vol. 18, no. 6, pp. 813-833, Jun. 1999.
-
(1999)
IEEE Trans. Comput. -Aided Design Integr. Circuits Syst
, vol.18
, Issue.6
, pp. 813-833
-
-
Benini, L.1
Bogliolo, A.2
Paleologo, G.3
De Micheli, G.4
-
10
-
-
0033706197
-
A survey of design techniques for system-level dynamic power management
-
Jun
-
L. Benini, A. Bogliolo, and G. De Micheli, "A survey of design techniques for system-level dynamic power management," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 8, no. 3, pp. 299-316, Jun. 2000.
-
(2000)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst
, vol.8
, Issue.3
, pp. 299-316
-
-
Benini, L.1
Bogliolo, A.2
De Micheli, G.3
-
11
-
-
0036149420
-
Networks on chip; A new design paradigm
-
Jan
-
L. Benini and G. De Micheli, "Networks on chip; A new design paradigm," Computer, vol. 35, no. 1, pp. 70-78, Jan. 2002.
-
(2002)
Computer
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
12
-
-
50249095193
-
Fault-tolerant multi-level logic decoder for nanoscale crossbar memory arrays
-
H. Ben Jamaa, K. Moselund, D. Atienza, D. Bouvet, A. Ionescu, Y. Leblebici, and G. De Micheli, "Fault-tolerant multi-level logic decoder for nanoscale crossbar memory arrays," in ICCAD, 2007, pp. 765-772.
-
(2007)
ICCAD
, pp. 765-772
-
-
Ben Jamaa, H.1
Moselund, K.2
Atienza, D.3
Bouvet, D.4
Ionescu, A.5
Leblebici, Y.6
De Micheli, G.7
-
13
-
-
0001266829
-
A feasibility study of a capacitive biosensor for direct detection of DNA hybridization
-
Mar
-
C. Berggren, P. Stalhandske, J. Brundell, and G. Johansson, "A feasibility study of a capacitive biosensor for direct detection of DNA hybridization," Electroanalysis, vol. 11, no. 3, p. 11, Mar. 1999.
-
(1999)
Electroanalysis
, vol.11
, Issue.3
, pp. 11
-
-
Berggren, C.1
Stalhandske, P.2
Brundell, J.3
Johansson, G.4
-
14
-
-
14844365666
-
NoC synthesis flow for customized domain specific multiprocessor systems-on-chip
-
Feb
-
D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, and G. De Micheli, "NoC synthesis flow for customized domain specific multiprocessor systems-on-chip," IEEE Trans. Parallel Distrib. Syst., vol. 16, no. 2, pp. 113-129, Feb. 2005.
-
(2005)
IEEE Trans. Parallel Distrib. Syst
, vol.16
, Issue.2
, pp. 113-129
-
-
Bertozzi, D.1
Jalabert, A.2
Murali, S.3
Tamhankar, R.4
Stergiou, S.5
Benini, L.6
De Micheli, G.7
-
15
-
-
41549129053
-
Statistical timing analysis: From basic principles to state of the art
-
Apr
-
D. Blaauw, K. Chopra, A. Srivastava, and L. Scheffer, "Statistical timing analysis: From basic principles to state of the art," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 4, pp. 589-607, Apr. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.27
, Issue.4
, pp. 589-607
-
-
Blaauw, D.1
Chopra, K.2
Srivastava, A.3
Scheffer, L.4
-
16
-
-
66549104212
-
Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis
-
S. Bobba, J. Zhang, A. Pullini, D. Atienza, S. Mitra, and G. De Micheli, "Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis," in DATE, 2009, pp. 616-621.
-
(2009)
DATE
, pp. 616-621
-
-
Bobba, S.1
Zhang, J.2
Pullini, A.3
Atienza, D.4
Mitra, S.5
De Micheli, G.6
-
17
-
-
0022769976
-
Graph-based algorithms for Boolean function manipulation
-
Aug
-
R. Bryant, "Graph-based algorithms for Boolean function manipulation," IEEE Trans. Comput., vol. C-35, no. 8, pp. 677-691, Aug. 1986.
-
(1986)
IEEE Trans. Comput
, vol.C-35
, Issue.8
, pp. 677-691
-
-
Bryant, R.1
-
18
-
-
66549084335
-
-
Available
-
[Online]. Available: http://bwrc.eecs.berkeley.edu/
-
-
-
-
19
-
-
66549104454
-
New insights for using self-assembly materials to improve the detection stability in label-free DNA-chip and immunosensors
-
to be published
-
S. Carrara, V. Bhalla, C. Stagni, L. Benini, A. Ferretti, F. Valle, A. Gallotta, B. Ricò, and B. Samorì, "New insights for using self-assembly materials to improve the detection stability in label-free DNA-chip and immunosensors," in Biosens. Bioelectron., 2008, to be published.
-
(2008)
Biosens. Bioelectron
-
-
Carrara, S.1
Bhalla, V.2
Stagni, C.3
Benini, L.4
Ferretti, A.5
Valle, F.6
Gallotta, A.7
Ricò, B.8
Samorì, B.9
-
20
-
-
33750994618
-
Realistic limits to computation. II. The technological side
-
Jan
-
G. Cerofolini, "Realistic limits to computation. II. The technological side," Appl. Phys. A, Mater. Sci. Process., vol. 86, no. 1, pp. 31-42, Jan. 2007.
-
(2007)
Appl. Phys. A, Mater. Sci. Process
, vol.86
, Issue.1
, pp. 31-42
-
-
Cerofolini, G.1
-
21
-
-
0032597827
-
Design considerations for distributed microsensor systems
-
A. Chandrakasan, R. Amirtharajah, S. Cho, J. Goodman, G. Konduri, J. Kulik, W. Rabiner, and A. Wang, "Design considerations for distributed microsensor systems," in Proc. Custom Integr. Circuit Conf., 1999, pp. 279-286.
-
(1999)
Proc. Custom Integr. Circuit Conf
, pp. 279-286
-
-
Chandrakasan, A.1
Amirtharajah, R.2
Cho, S.3
Goodman, J.4
Konduri, G.5
Kulik, J.6
Rabiner, W.7
Wang, A.8
-
23
-
-
0034566393
-
Biclustering of expression data
-
Y. Cheng and G. Church, "Biclustering of expression data," in Proc. ISMB, 2000, pp. 93-103.
-
(2000)
Proc. ISMB
, pp. 93-103
-
-
Cheng, Y.1
Church, G.2
-
24
-
-
0030379798
-
Algorithms for approximate FSM traversal based on space decomposition
-
Dec
-
H. Cho, G. Hachtel, E. Macii, B. Plessier, and F. Somenzi, "Algorithms for approximate FSM traversal based on space decomposition," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 15, no. 12, pp. 1465-1478, Dec. 1996.
-
(1996)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.15
, Issue.12
, pp. 1465-1478
-
-
Cho, H.1
Hachtel, G.2
Macii, E.3
Plessier, B.4
Somenzi, F.5
-
25
-
-
52649171407
-
A high-performance droplet routing algorithm for digital microfluidic biochips
-
Oct
-
M. Cho and D. Pan, "A high-performance droplet routing algorithm for digital microfluidic biochips," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 10, pp. 1714-1724, Oct. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.27
, Issue.10
, pp. 1714-1724
-
-
Cho, M.1
Pan, D.2
-
26
-
-
50249160623
-
Fabrication and characterization of carbon nanotube interconnects
-
Washington, DC, Dec. 10-12
-
G. F. Close and H.-S. P. Wong, "Fabrication and characterization of carbon nanotube interconnects," in IEDM Tech. Dig., Washington, DC, Dec. 10-12, 2007, pp. 203-206.
-
(2007)
IEDM Tech. Dig
, pp. 203-206
-
-
Close, G.F.1
Wong, H.-S.P.2
-
27
-
-
16244385917
-
A thermal-driven floorplanning algorithm for 3D ICs
-
J. Cong, J. Wei, and Y. Zhang, "A thermal-driven floorplanning algorithm for 3D ICs," in Proc. ICCAD, 2004, pp. 306-313.
-
(2004)
Proc. ICCAD
, pp. 306-313
-
-
Cong, J.1
Wei, J.2
Zhang, Y.3
-
28
-
-
0042660763
-
Speech and language processing for next-millennium communications services
-
Aug
-
R. V. Cox, C. A. Kamm, L. R. Rabiner, J. Schroeter, and J. G. Wilpon, "Speech and language processing for next-millennium communications services," Proc. IEEE, vol. 88, no. 8, pp. 1314-1337, Aug. 2000.
-
(2000)
Proc. IEEE
, vol.88
, Issue.8
, pp. 1314-1337
-
-
Cox, R.V.1
Kamm, C.A.2
Rabiner, L.R.3
Schroeter, J.4
Wilpon, J.G.5
-
29
-
-
0344981523
-
Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs
-
M. Dall'Osso, G. Biccari, L. Giovannini, D. Bertozzi, and L. Benini, "Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs," in Int. Conf. Comput. Des., 2003, pp. 536-539.
-
(2003)
Int. Conf. Comput. Des
, pp. 536-539
-
-
Dall'Osso, M.1
Biccari, G.2
Giovannini, L.3
Bertozzi, D.4
Benini, L.5
-
30
-
-
0034848112
-
Route packets, not wires: On-chip interconnection networks
-
W. Dally and B. Towles, "Route packets, not wires: On-chip interconnection networks," in Proc. DAC, 2001, pp. 684-689.
-
(2001)
Proc. DAC
, pp. 684-689
-
-
Dally, W.1
Towles, B.2
-
31
-
-
0141499770
-
Array-based architecture for FET-based nanoscale electronics
-
Mar
-
A. DeHon, "Array-based architecture for FET-based nanoscale electronics," IEEE Trans. Nanotechnol., vol. 2, no. 1, pp. 23-32, Mar. 2003.
-
(2003)
IEEE Trans. Nanotechnol
, vol.2
, Issue.1
, pp. 23-32
-
-
DeHon, A.1
-
32
-
-
2442617450
-
Stochastic assembly of sublithographic nanoscale interfaces
-
Sep
-
A. DeHon, P. Lincoln, and J. E. Savage, "Stochastic assembly of sublithographic nanoscale interfaces," IEEE Trans. Nanotechnol., vol. 2, no. 3, pp. 165-174, Sep. 2003.
-
(2003)
IEEE Trans. Nanotechnol
, vol.2
, Issue.3
, pp. 165-174
-
-
DeHon, A.1
Lincoln, P.2
Savage, J.E.3
-
35
-
-
66549105205
-
Continuous-flow separation of cells in a lab-on-a-chip using liquid electrodes and multiple-frequency dielectrophoresis,
-
Ph.D. dissertation, EPFL, Lausanne, Switzerland
-
N. Demierre, "Continuous-flow separation of cells in a lab-on-a-chip using liquid electrodes and multiple-frequency dielectrophoresis," Ph.D. dissertation, EPFL, Lausanne, Switzerland, 2008.
-
(2008)
-
-
Demierre, N.1
-
36
-
-
0029852580
-
Use of a cDNA microarray to analyze gene expression patterns in human cancer
-
Dec
-
J. De Risi, L. Penland, P. Brown, M. Bittner, P. Meltler, M. Ray, Y Chen, Y Su, and M. Trent, "Use of a cDNA microarray to analyze gene expression patterns in human cancer," Nat. Genet., vol. 14, no. 4, pp. 457-460, Dec. 1996.
-
(1996)
Nat. Genet
, vol.14
, Issue.4
, pp. 457-460
-
-
De Risi, J.1
Penland, L.2
Brown, P.3
Bittner, M.4
Meltler, P.5
Ray, M.6
Chen, Y.7
Su, Y.8
Trent, M.9
-
37
-
-
0035670881
-
Scheduling of microfluidic operations for reconfigurable two-dimensional electrowetting arrays
-
Dec
-
J. Ding, K. Chakrabarty, and R. Fair, "Scheduling of microfluidic operations for reconfigurable two-dimensional electrowetting arrays," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 20, no. 12, pp. 1463-1468, Dec. 2001.
-
(2001)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.20
, Issue.12
, pp. 1463-1468
-
-
Ding, J.1
Chakrabarty, K.2
Fair, R.3
-
38
-
-
33847755085
-
A new logic family based on hybrid MOSFET-polysilicon nanowires
-
Washington, DC, Dec
-
S. Ecoffey, M. Mazza, V. Pott, D. Bouvet, A. Schmid, Y Leblebici, M. J. Declercq, and A. M. Ionescu, "A new logic family based on hybrid MOSFET-polysilicon nanowires," in IEDM Tech. Dig., Washington, DC, Dec. 2005, pp. 269-272.
-
(2005)
IEDM Tech. Dig
, pp. 269-272
-
-
Ecoffey, S.1
Mazza, M.2
Pott, V.3
Bouvet, D.4
Schmid, A.5
Leblebici, Y.6
Declercq, M.J.7
Ionescu, A.M.8
-
39
-
-
28144432921
-
Nano-wires for room temperature operated hybrid CMOS-NANO integrated circuits
-
Feb. 6-10
-
S. Ecoffey, V. Pott, D. Bouvet, M. Mazza, S. Mahapatra, A. Schmid, Y Leblebici, M. J. Declercq, and A. M. Ionescu, "Nano-wires for room temperature operated hybrid CMOS-NANO integrated circuits," in IEEE Int. Solid-State Circuits Conf., Feb. 6-10, 2005, pp. 260-262.
-
(2005)
IEEE Int. Solid-State Circuits Conf
, pp. 260-262
-
-
Ecoffey, S.1
Pott, V.2
Bouvet, D.3
Mazza, M.4
Mahapatra, S.5
Schmid, A.6
Leblebici, Y.7
Declercq, M.J.8
Ionescu, A.M.9
-
40
-
-
0032441150
-
-
M. Eisen, P. Spellman, P. Brown, and D. Bostein, Cluster analysis and display of genome-wide expression patterns, Proc. Nat. Acad. Sci., 95, no. 25, pp. 14 863-14 868, Dec. 1998.
-
M. Eisen, P. Spellman, P. Brown, and D. Bostein, "Cluster analysis and display of genome-wide expression patterns," Proc. Nat. Acad. Sci., vol. 95, no. 25, pp. 14 863-14 868, Dec. 1998.
-
-
-
-
41
-
-
84944408150
-
Razor: A low-power pipeline based on circuitlevel timing speculation
-
D. Ernst, N. Kim, S. Das, S. Pant, R. Rao, P. Toam, C. Ziesler, D. Blaauw, T. Austin, and T. Mudge, "Razor: A low-power pipeline based on circuitlevel timing speculation," in Proc. MICRO-36, 2003, pp. 7-18.
-
(2003)
Proc. MICRO-36
, pp. 7-18
-
-
Ernst, D.1
Kim, N.2
Das, S.3
Pant, S.4
Rao, R.5
Toam, P.6
Ziesler, C.7
Blaauw, D.8
Austin, T.9
Mudge, T.10
-
42
-
-
16644389406
-
A gene regulatory network model for cell fate determination during Arabidopsis thaliana flower development that is robust and recovers experimental gene expression profiles
-
Nov
-
C. Espinosa-Soto, P. Padilla-Longoria, and E. Alvarez-Buy illa, "A gene regulatory network model for cell fate determination during Arabidopsis thaliana flower development that is robust and recovers experimental gene expression profiles," Plant. Cell, vol. 16, no. 11, pp. 2923-2939, Nov. 2004.
-
(2004)
Plant. Cell
, vol.16
, Issue.11
, pp. 2923-2939
-
-
Espinosa-Soto, C.1
Padilla-Longoria, P.2
Alvarez-Buy illa, E.3
-
43
-
-
0033707946
-
Using Bayesian networks to analyze expression data
-
Aug
-
N. Friedman, M. Linial, I. Nachman, and D. Pe'er, "Using Bayesian networks to analyze expression data," J. Comput. Biol., vol. 7, no. 3/4, pp. 601-620, Aug. 2000.
-
(2000)
J. Comput. Biol
, vol.7
, Issue.3-4
, pp. 601-620
-
-
Friedman, N.1
Linial, M.2
Nachman, I.3
Pe'er, D.4
-
44
-
-
85008006353
-
Vertically stacked SiGe nanowire array channel CMOS transistors
-
Mar
-
W. W Fang, N. Singh, L. K. Bera, H. S. Nguyen, S. C. Rustagi, G. Q. Lo, N. Balasubramanian, and D.-L. Kwong, "Vertically stacked SiGe nanowire array channel CMOS transistors," IEEE Electron Device Lett., vol. 28, no. 3, pp. 211-213, Mar. 2007.
-
(2007)
IEEE Electron Device Lett
, vol.28
, Issue.3
, pp. 211-213
-
-
Fang, W.W.1
Singh, N.2
Bera, L.K.3
Nguyen, H.S.4
Rustagi, S.C.5
Lo, G.Q.6
Balasubramanian, N.7
Kwong, D.-L.8
-
45
-
-
85188523834
-
IBM MASTOR SYSTEM: Multilingual automatic speech-to-speech translator
-
Y Gao, L. Gu, B. Hou, R. Sarikaya, M. Afify, H.-K. Kuo, W.-Z. Zhu, Y Deng, C. Prosser, W Zhang, and L. Besacier, "IBM MASTOR SYSTEM: Multilingual automatic speech-to-speech translator," in Proc. Workshop Medical Speech Translation HLT-NAACL, 2006, pp. 57-60.
-
(2006)
Proc. Workshop Medical Speech Translation HLT-NAACL
, pp. 57-60
-
-
Gao, Y.1
Gu, L.2
Hou, B.3
Sarikaya, R.4
Afify, M.5
Kuo, H.-K.6
Zhu, W.-Z.7
Deng, Y.8
Prosser, C.9
Zhang, W.10
Besacier, L.11
-
46
-
-
34547480306
-
An efficient method for dynamic analysis of gene regulatory networks and in silico gene perturbation experiments
-
A. Garg, I. Xenarios, L. Mendoza, and G. De Micheli, "An efficient method for dynamic analysis of gene regulatory networks and in silico gene perturbation experiments," in Proc. RECOMB, 2007, pp. 62-67.
-
(2007)
Proc. RECOMB
, pp. 62-67
-
-
Garg, A.1
Xenarios, I.2
Mendoza, L.3
De Micheli, G.4
-
47
-
-
57649233197
-
Modeling of multiple valued gene regulatory networks
-
A. Garg, L. Mendoza, I. Xenarios, and G. De Micheli, "Modeling of multiple valued gene regulatory networks," in Proc. EMBC, 2007, pp.1398-1403.
-
(2007)
Proc. EMBC
, pp. 1398-1403
-
-
Garg, A.1
Mendoza, L.2
Xenarios, I.3
De Micheli, G.4
-
48
-
-
66549108837
-
Implicit methods for probabilistic modeling of gene regulatory networks
-
A. Garg, D. Banerjee, and G. De Micheli, "Implicit methods for probabilistic modeling of gene regulatory networks," in Proc. EMBC, 2008, pp. 1398-1404.
-
(2008)
Proc. EMBC
, pp. 1398-1404
-
-
Garg, A.1
Banerjee, D.2
De Micheli, G.3
-
49
-
-
50549088838
-
Synchronous vs. asynchronous modeling of gene regulatory networks
-
Sep
-
A. Garg, A. DiCara, I. Xenarios, L. Mendoza, and G. De Micheli, "Synchronous vs. asynchronous modeling of gene regulatory networks," Bioinformatics, vol. 24, no. 17, pp. 1917-1925, Sep. 2008.
-
(2008)
Bioinformatics
, vol.24
, Issue.17
, pp. 1917-1925
-
-
Garg, A.1
DiCara, A.2
Xenarios, I.3
Mendoza, L.4
De Micheli, G.5
-
50
-
-
66549110036
-
-
Personal Communication
-
A. Garg, Personal Communication.
-
-
-
Garg, A.1
-
52
-
-
34250209135
-
BerkMin: A fast and robust SAT solver
-
Jun
-
E. Goldberg and Y Novikov, "BerkMin: A fast and robust SAT solver," Discrete Appl. Math., vol. 155, no. 12, pp. 1549-1561, Jun. 2007.
-
(2007)
Discrete Appl. Math
, vol.155
, Issue.12
, pp. 1549-1561
-
-
Goldberg, E.1
Novikov, Y.2
-
53
-
-
27344456043
-
AEthrereal network on chip: Concepts, architectures and implementations
-
Sep./Oct
-
K. Goossens, J. Dielissens, and A. Radulescu, "AEthrereal network on chip: Concepts, architectures and implementations," IEEE Des. Test Comput., vol. 22, no. 5, pp. 414-421, Sep./Oct. 2001.
-
(2001)
IEEE Des. Test Comput
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissens, J.2
Radulescu, A.3
-
54
-
-
84893687806
-
A generic architecture for on-chip packet-switched interconnections
-
P. Guerrier and A. Greiner, "A generic architecture for on-chip packet-switched interconnections," in Des. Autom. Test Eur. Conf., 2000, pp. 250-256.
-
(2000)
Des. Autom. Test Eur. Conf
, pp. 250-256
-
-
Guerrier, P.1
Greiner, A.2
-
55
-
-
1042287246
-
DNA detection by integrable electronics
-
Mar
-
C. Guiducci, C. Stagni, G. Zuccheri, A. Bogliolo, L. Benini, A. Samorì, and B. Riccò, "DNA detection by integrable electronics," Biosens. Bioelectron., vol. 19, no. 8, pp. 781-787, Mar. 2004.
-
(2004)
Biosens. Bioelectron
, vol.19
, Issue.8
, pp. 781-787
-
-
Guiducci, C.1
Stagni, C.2
Zuccheri, G.3
Bogliolo, A.4
Benini, L.5
Samorì, A.6
Riccò, B.7
-
56
-
-
33645013400
-
Assembling nanoscale circuits with randomized connections
-
Mar
-
T. Hogg, Y Chen, and P. J. Kuekes, "Assembling nanoscale circuits with randomized connections," IEEE Trans. Nanotechnol., vol. 5, no. 2, pp. 110-122, Mar. 2006.
-
(2006)
IEEE Trans. Nanotechnol
, vol.5
, Issue.2
, pp. 110-122
-
-
Hogg, T.1
Chen, Y.2
Kuekes, P.J.3
-
57
-
-
66549099471
-
-
Available
-
[Online]. Available: http://hpwren.ucsd.edu/
-
-
-
-
58
-
-
66549096472
-
-
Available
-
[Online]. Available: http://www.zurich.ibm.com/news/08/3D-cooling. html
-
-
-
-
59
-
-
0036956946
-
An adaptive low-power transmission scheme for on-chip networks
-
P. Ienne, P. Thiran, G. De Micheli, and F. Worm, "An adaptive low-power transmission scheme for on-chip networks," in Proc. 15th Int. Symp. Syst. Synthesis, 2002, pp. 92-100.
-
(2002)
Proc. 15th Int. Symp. Syst. Synthesis
, pp. 92-100
-
-
Ienne, P.1
Thiran, P.2
De Micheli, G.3
Worm, F.4
-
60
-
-
66549096218
-
-
Available
-
[Online]. Available: http://www.zurich.ibm.com/news/08/3D-cooling. html
-
-
-
-
62
-
-
13144268611
-
SAT-based unbounded symbolic model checking
-
Feb
-
H.-J. Kang and I.-C. Park, "SAT-based unbounded symbolic model checking," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 2, pp. 129-140, Feb. 2005.
-
(2005)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.24
, Issue.2
, pp. 129-140
-
-
Kang, H.-J.1
Park, I.-C.2
-
63
-
-
0014489272
-
Metabolic stability and epigenesis in randomly constructed genetic nets
-
Mar
-
S. A. Kauffman, "Metabolic stability and epigenesis in randomly constructed genetic nets," J. Theor. Biol., vol. 22, no. 3, pp. 437-467, Mar. 1969.
-
(1969)
J. Theor. Biol
, vol.22
, Issue.3
, pp. 437-467
-
-
Kauffman, S.A.1
-
64
-
-
66549089005
-
-
Available
-
[Online]. Available: http://www.genome.jp/kegg/
-
-
-
-
65
-
-
0034428118
-
System-level design: Orthogonalization of concerns and platform-based design
-
Dec
-
K. Keutzer, R. Newton, J. Rabaey, and A. Sangiovanni-Vincentelli, "System-level design: Orthogonalization of concerns and platform-based design," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 19, no. 12, pp. 1523-1543, Dec. 2000.
-
(2000)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer, K.1
Newton, R.2
Rabaey, J.3
Sangiovanni-Vincentelli, A.4
-
66
-
-
34548359112
-
Probabilistic system on chip architectures
-
Aug
-
L. N. Chakrapani, P. Korkmaz, B. E. S. Akgul, and K. V. Palem, "Probabilistic system on chip architectures," ACM Trans. Des. Autom. Electron. Syst., vol. 12, no. 3, Aug. 2007.
-
(2007)
ACM Trans. Des. Autom. Electron. Syst
, vol.12
, Issue.3
-
-
Chakrapani, L.N.1
Korkmaz, P.2
Akgul, B.E.S.3
Palem, K.V.4
-
67
-
-
0038645161
-
An 800 MHz star-connected on-chip network for application to systems on a chip
-
Feb
-
S.-J. Lee, S.-J. Song, K. Lee, J.-H. Woo, S.-E. Kim, B.-G. Nam, and H.-J. Yoo, "An 800 MHz star-connected on-chip network for application to systems on a chip," in IEEE Int. Solid-State Circuits Conf., Feb. 2003, pp. 468-469.
-
(2003)
IEEE Int. Solid-State Circuits Conf
, pp. 468-469
-
-
Lee, S.-J.1
Song, S.-J.2
Lee, K.3
Woo, J.-H.4
Kim, S.-E.5
Nam, B.-G.6
Yoo, H.-J.7
-
69
-
-
44649148310
-
Microparticle photometry in a CMOS microsystem combining magnetic actuation and in situ optical detection
-
Jun. 16
-
U. Lehmann, M. Sergio, S. Pietrocola, C. Niclass, E. Charbon, and M. A. M. Gijs, "Microparticle photometry in a CMOS microsystem combining magnetic actuation and in situ optical detection," Sens. Actuators B, Chem., vol. 132, no. 2, pp. 411-417, Jun. 16, 2008.
-
(2008)
Sens. Actuators B, Chem
, vol.132
, Issue.2
, pp. 411-417
-
-
Lehmann, U.1
Sergio, M.2
Pietrocola, S.3
Niclass, C.4
Charbon, E.5
Gijs, M.A.M.6
-
70
-
-
66549123941
-
Manipulation of magnetic microparticles in liquid phases for on-chip biomedical analysis methods,
-
Ph.D. dissertation, EPFL, Lausanne, Switzerland
-
U. Lehmann, "Manipulation of magnetic microparticles in liquid phases for on-chip biomedical analysis methods," Ph.D. dissertation, EPFL, Lausanne, Switzerland, 2008.
-
(2008)
-
-
Lehmann, U.1
-
72
-
-
28344435928
-
Physical design for 3D systems on package
-
Nov./Dec
-
S. Lim, "Physical design for 3D systems on package," IEEE Des. Test Comput., vol. 22, no. 6, pp. 532-539, Nov./Dec. 2005.
-
(2005)
IEEE Des. Test Comput
, vol.22
, Issue.6
, pp. 532-539
-
-
Lim, S.1
-
73
-
-
0037124873
-
Two-dimensional molecular electronic circuits
-
Jun
-
Y. Luoer, P. C. Collier, J. O. Jeppesen, K. A. Nielsen, E. Delonno, G. Ho, J. Perkins, H. R. Tseng, T. Yamamoto, J. F. Stoddart, and J. R. Heath, "Two-dimensional molecular electronic circuits," Chem Phys Chem, vol. 3, no. 6, pp. 519-525, Jun. 2002.
-
(2002)
Chem Phys Chem
, vol.3
, Issue.6
, pp. 519-525
-
-
Luoer, Y.1
Collier, P.C.2
Jeppesen, J.O.3
Nielsen, K.A.4
Delonno, E.5
Ho, G.6
Perkins, J.7
Tseng, H.R.8
Yamamoto, T.9
Stoddart, J.F.10
Heath, J.R.11
-
74
-
-
57049166597
-
DNA computing for work and play
-
Nov
-
J. Macdonald, D. Stefanovic, and M. Stojanovic, "DNA computing for work and play," Sci. Amer., vol. 299, no. 5, pp. 60-67, Nov. 2008.
-
(2008)
Sci. Amer
, vol.299
, Issue.5
, pp. 60-67
-
-
Macdonald, J.1
Stefanovic, D.2
Stojanovic, M.3
-
75
-
-
3142768191
-
-
S. Madeira and A. Oliveira, Biclustering algorithms for biological data analysis: A survey, IEEE/ACM Trans. Comput. Biol. Bioinf., 1, no. 1, pp. 24-45, Jan.-Mar. 2004.
-
S. Madeira and A. Oliveira, "Biclustering algorithms for biological data analysis: A survey," IEEE/ACM Trans. Comput. Biol. Bioinf., vol. 1, no. 1, pp. 24-45, Jan.-Mar. 2004.
-
-
-
-
76
-
-
0025207507
-
Miniaturized total chemical analysis systems: A novel concept for chemical sensing
-
Jan
-
A. Manz, N. Graber, and H. M. Widmer, "Miniaturized total chemical analysis systems: A novel concept for chemical sensing," Sens. Actuators B, Chem., vol. 1, no. 1-6, pp. 244-248, Jan. 1990.
-
(1990)
Sens. Actuators B, Chem
, vol.1
, Issue.1-6
, pp. 244-248
-
-
Manz, A.1
Graber, N.2
Widmer, H.M.3
-
77
-
-
66549114708
-
Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives
-
Jan
-
R. Marculescu, U. Ogras, K. Peh, N. Jerger, and Y Hoskote, "Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 28, no. 1, pp. 3-21, Jan. 2009.
-
(2009)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.28
, Issue.1
, pp. 3-21
-
-
Marculescu, R.1
Ogras, U.2
Peh, K.3
Jerger, N.4
Hoskote, Y.5
-
78
-
-
41549108152
-
Quantum circuit placement
-
Apr
-
D. Maslov, S. M. Falconer, and M. Mosca, "Quantum circuit placement," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 4, pp. 752-763, Apr. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.27
, Issue.4
, pp. 752-763
-
-
Maslov, D.1
Falconer, S.M.2
Mosca, M.3
-
79
-
-
0042850597
-
Interconnect opportunities for gigascale integration
-
May/Jun
-
J. D. Meindl, "Interconnect opportunities for gigascale integration," IEEE Micro, vol. 23, no. 3, pp. 28-35, May/Jun. 2003.
-
(2003)
IEEE Micro
, vol.23
, Issue.3
, pp. 28-35
-
-
Meindl, J.D.1
-
80
-
-
33646346114
-
A method for the generation of standardized qualitative dynamical systems of regulatory networks
-
L. Mendoza and I. Xenarios, "A method for the generation of standardized qualitative dynamical systems of regulatory networks," Theor. Biol. Med. Model., vol. 3, p. 13, 2006.
-
(2006)
Theor. Biol. Med. Model
, vol.3
, pp. 13
-
-
Mendoza, L.1
Xenarios, I.2
-
81
-
-
66549107804
-
-
Available
-
[Online]. Available: http://www.mics.org/
-
-
-
-
82
-
-
34247581920
-
Power and reliability management of SoCs
-
Apr
-
C. Mihic, T. Simunic, and G. De Micheli, "Power and reliability management of SoCs," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 4, pp. 391-403, Apr. 2007.
-
(2007)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst
, vol.15
, Issue.4
, pp. 391-403
-
-
Mihic, C.1
Simunic, T.2
De Micheli, G.3
-
83
-
-
0036704299
-
Energy-centric enabling technologies for wireless sensor networks
-
Aug
-
R. Min, M. Bhardwaj, S.-H. Cho, N. Ickes, E. Shih, A. Sinha, A. Wang, and A. Chandrakasan, "Energy-centric enabling technologies for wireless sensor networks," Wireless Commun., vol. 9, no. 4, pp. 28-39, Aug. 2002.
-
(2002)
Wireless Commun
, vol.9
, Issue.4
, pp. 28-39
-
-
Min, R.1
Bhardwaj, M.2
Cho, S.-H.3
Ickes, N.4
Shih, E.5
Sinha, A.6
Wang, A.7
Chandrakasan, A.8
-
85
-
-
0031277606
-
Capacitive monitoring of protein immobilization and antigen-antibody reactions on monomolecular alkylthiol films on gold electrodes
-
V. Mirsky, M. Riepl, and O. Wolfbeis, "Capacitive monitoring of protein immobilization and antigen-antibody reactions on monomolecular alkylthiol films on gold electrodes," Biosens. Biolectron., vol. 12, no. 9/10, pp. 977-989, 1997.
-
(1997)
Biosens. Biolectron
, vol.12
, Issue.9-10
, pp. 977-989
-
-
Mirsky, V.1
Riepl, M.2
Wolfbeis, O.3
-
86
-
-
0036907178
-
Whirlpool PLAs: A regular logic structure and their synthesis
-
F. Mo and R. Brayton, "Whirlpool PLAs: A regular logic structure and their synthesis," in Proc. ICCAD, 2002, pp. 543-550.
-
(2002)
Proc. ICCAD
, pp. 543-550
-
-
Mo, F.1
Brayton, R.2
-
87
-
-
49049096892
-
Hysteretic inverter-on-a-body-tied-wire based on less-than-10 mV/decade abrupt punch-through impact ionization MOS PIMOS switch
-
Hsinchu, Taiwan, Apr. 21-23
-
K. E. Moselund, V. Pott, D. Bouvet, and A. M. Ionescu, "Hysteretic inverter-on-a-body-tied-wire based on less-than-10 mV/decade abrupt punch-through impact ionization MOS PIMOS switch," in Proc. Int. Symp. VLSI-TSA, Hsinchu, Taiwan, Apr. 21-23, 2008.
-
(2008)
Proc. Int. Symp. VLSI-TSA
-
-
Moselund, K.E.1
Pott, V.2
Bouvet, D.3
Ionescu, A.M.4
-
88
-
-
0034852165
-
CHAFF: Engineering an efficient SAT solver
-
M. Moskewick, C. Madigan, Y. Zhao, and S. Malik, "CHAFF: Engineering an efficient SAT solver," in Proc. Des. Autom. Conf., 2001, pp. 530-535.
-
(2001)
Proc. Des. Autom. Conf
, pp. 530-535
-
-
Moskewick, M.1
Madigan, C.2
Zhao, Y.3
Malik, S.4
-
89
-
-
49749145589
-
Thermal balancing policy for streaming computing on multiprocessor architectures
-
F. Mulas, M. Buttu, M. Pittau, S. Carta, D. Atienza, A. Acquaviva, L. Benini, and G. De Micheli, "Thermal balancing policy for streaming computing on multiprocessor architectures," in Proc. DATE, 2008, pp. 734-739.
-
(2008)
Proc. DATE
, pp. 734-739
-
-
Mulas, F.1
Buttu, M.2
Pittau, M.3
Carta, S.4
Atienza, D.5
Acquaviva, A.6
Benini, L.7
De Micheli, G.8
-
90
-
-
34547397357
-
Synthesis of predictable networks-on-chip based interconnect architectures for chip multi-processors
-
Aug
-
S. Murali, P. Meloni, D. Atienza, S. Carta, L. Benini, G. De Micheli, and L. Raffo, "Synthesis of predictable networks-on-chip based interconnect architectures for chip multi-processors," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 8, pp. 869-880, Aug. 2007.
-
(2007)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst
, vol.15
, Issue.8
, pp. 869-880
-
-
Murali, S.1
Meloni, P.2
Atienza, D.3
Carta, S.4
Benini, L.5
De Micheli, G.6
Raffo, L.7
-
91
-
-
0036885067
-
The lineage decisions on helper T cells
-
Dec
-
K. M. Murphy and S. L. Reiner, "The lineage decisions on helper T cells," Nat. Rev., Immunol., vol. 2, no. 12, pp. 933-944, Dec. 2002.
-
(2002)
Nat. Rev., Immunol
, vol.2
, Issue.12
, pp. 933-944
-
-
Murphy, K.M.1
Reiner, S.L.2
-
92
-
-
0036608523
-
A new FPGA detailed routing approach via search-based Boolean satisfiability
-
Jun
-
N. Gi-Joon, K. A. Sakallah, and R. A. Rutenbar, "A new FPGA detailed routing approach via search-based Boolean satisfiability," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 21, no. 6, pp. 674-684, Jun. 2002.
-
(2002)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.21
, Issue.6
, pp. 674-684
-
-
Gi-Joon, N.1
Sakallah, K.A.2
Rutenbar, R.A.3
-
93
-
-
33748604580
-
Circuits and systems for high-throughput biology
-
C. Nardini, L. Benini, and G. De Micheli, "Circuits and systems for high-throughput biology," IEEE Circuits Syst. Mag., vol. 6, no. 3, pp. 10-20, 2006.
-
(2006)
IEEE Circuits Syst. Mag
, vol.6
, Issue.3
, pp. 10-20
-
-
Nardini, C.1
Benini, L.2
De Micheli, G.3
-
94
-
-
66549125931
-
-
Available
-
[Online]. Available: www.nano-tera.ch
-
-
-
-
95
-
-
66549094333
-
-
Available
-
[Online]. Available: http://www.ocpip.org/home
-
-
-
-
96
-
-
17044365390
-
Energy scavenging for mobile and wireless electronics
-
Jan.-Mar
-
J. Paradiso and T. Starner, "Energy scavenging for mobile and wireless electronics," Pervasive Comput., vol. 4, no. 1, pp. 18-27, Jan.-Mar. 2005.
-
(2005)
Pervasive Comput
, vol.4
, Issue.1
, pp. 18-27
-
-
Paradiso, J.1
Starner, T.2
-
97
-
-
34547287170
-
Automated design of misaligned-carbon-nanotube-immune circuits
-
Jun
-
N. Patil, D. Jie, H.-S. P. Wong, and S. Mitra, "Automated design of misaligned-carbon-nanotube-immune circuits," in Des. Autom. Conf., Jun. 2007, pp. 958-961.
-
(2007)
Des. Autom. Conf
, pp. 958-961
-
-
Patil, N.1
Jie, D.2
Wong, H.-S.P.3
Mitra, S.4
-
98
-
-
0028246289
-
Light-generated oligonucleotide arrays for rapid DNA sequencing analysis
-
May
-
A. Pease, D. Solas, E. J. Sullivan, M. T. Cronin, C. P. Holmes, and S. P. Fodor, "Light-generated oligonucleotide arrays for rapid DNA sequencing analysis," Proc. Nat. Acad. Sci., vol. 91, no. 11, pp. 5022-5026, May 1994.
-
(1994)
Proc. Nat. Acad. Sci
, vol.91
, Issue.11
, pp. 5022-5026
-
-
Pease, A.1
Solas, D.2
Sullivan, E.J.3
Cronin, M.T.4
Holmes, C.P.5
Fodor, S.P.6
-
100
-
-
0041592439
-
Complex instruction and software library mapping for embedded software using symbolic algebra
-
Aug
-
A. Peymandoust, T. Simunic, and G. De Micheli, "Complex instruction and software library mapping for embedded software using symbolic algebra," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 22, no. 8, pp. 964-975, Aug. 2003.
-
(2003)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.22
, Issue.8
, pp. 964-975
-
-
Peymandoust, A.1
Simunic, T.2
De Micheli, G.3
-
101
-
-
0042635594
-
Exploring regular fabrics to optimize the performance-cost trade-off
-
L. Pileggi, H. Schmit, A. J. Strojwas, P. Gopalakrishnan, V. Kheterpal, A. Koorapaty, C. Patel, V. Rovner, and K. Y Tong, "Exploring regular fabrics to optimize the performance-cost trade-off," in Des. Autom. Conf., 2003, pp. 782-787.
-
(2003)
Des. Autom. Conf
, pp. 782-787
-
-
Pileggi, L.1
Schmit, H.2
Strojwas, A.J.3
Gopalakrishnan, P.4
Kheterpal, V.5
Koorapaty, A.6
Patel, C.7
Rovner, V.8
Tong, K.Y.9
-
102
-
-
36849004429
-
Bringing NoCs to 65 nm
-
Sep./Oct
-
A. Pullini, F. Angiolini, S. Murali, D. Atienza, G. De Micheli, and L. Benini, "Bringing NoCs to 65 nm," IEEE Micro, vol. 27, no. 5, pp. 75-85, Sep./Oct. 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 75-85
-
-
Pullini, A.1
Angiolini, F.2
Murali, S.3
Atienza, D.4
De Micheli, G.5
Benini, L.6
-
103
-
-
0035375137
-
Computational analysis of microrarray data
-
Jun
-
J. Quackenbush, "Computational analysis of microrarray data," Nat. Rev. Genet., vol. 2, no. 6, pp. 418-427, Jun. 2001.
-
(2001)
Nat. Rev. Genet
, vol.2
, Issue.6
, pp. 418-427
-
-
Quackenbush, J.1
-
104
-
-
0032667392
-
Dynamic power management based on continuos-time Markov decision processes
-
Q. Qiu and M. Pedram, "Dynamic power management based on continuos-time Markov decision processes," in Des. Autom. Conf., 1999, pp. 555-561.
-
(1999)
Des. Autom. Conf
, pp. 555-561
-
-
Qiu, Q.1
Pedram, M.2
-
105
-
-
46149095528
-
Nanowire addressing with randomized-contact decoders
-
E. Rachlin and J. Savage, "Nanowire addressing with randomized-contact decoders," in Proc. ICCAD, 2006, pp. 735-742.
-
(2006)
Proc. ICCAD
, pp. 735-742
-
-
Rachlin, E.1
Savage, J.2
-
106
-
-
0037078982
-
Control, exploitation and tolerance of intracellular noise
-
Nov
-
C. V. Rao, D. M. Wolf, and A. P. Arkin, "Control, exploitation and tolerance of intracellular noise," Nature, vol. 420, no. 6912, pp. 231-237, Nov. 2002.
-
(2002)
Nature
, vol.420
, Issue.6912
, pp. 231-237
-
-
Rao, C.V.1
Wolf, D.M.2
Arkin, A.P.3
-
107
-
-
84939338348
-
Multiple-valued minimization for PLA optimization
-
Sep
-
R. Rudell and A. Sangiovanni-Vincetelli, "Multiple-valued minimization for PLA optimization," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. CAD-6, no. 5, pp. 727-750, Sep. 1987.
-
(1987)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.CAD-6
, Issue.5
, pp. 727-750
-
-
Rudell, R.1
Sangiovanni-Vincetelli, A.2
-
108
-
-
0347527065
-
The tides of EDA
-
Nov./Dec
-
A. Sangiovanni-Vincentelli, "The tides of EDA," IEEE Des. Test Comput., vol. 20, no. 6, pp. 59-75, Nov./Dec. 2003.
-
(2003)
IEEE Des. Test Comput
, vol.20
, Issue.6
, pp. 59-75
-
-
Sangiovanni-Vincentelli, A.1
-
109
-
-
33746657736
-
Radial addressing of nanowires
-
Apr
-
J. E. Savage, E. Rachlin, A. DeHon, C. M. Lieber, and Y Wu, "Radial addressing of nanowires," ACM J. Emerg. Technol Comput. Syst., vol. 2, no. 2, pp. 129-154, Apr. 2006.
-
(2006)
ACM J. Emerg. Technol Comput. Syst
, vol.2
, Issue.2
, pp. 129-154
-
-
Savage, J.E.1
Rachlin, E.2
DeHon, A.3
Lieber, C.M.4
Wu, Y.5
-
110
-
-
84960368426
-
Group testing with DNA chips: Generating designs and decoding experiments
-
A. Schliep, D. C. Torney, and S. Rahmann, "Group testing with DNA chips: Generating designs and decoding experiments," in Proc. IEEE CSB, 2003, pp. 84-91.
-
(2003)
Proc. IEEE CSB
, pp. 84-91
-
-
Schliep, A.1
Torney, D.C.2
Rahmann, S.3
-
111
-
-
1942437985
-
Cell immersion and cell dipping in microfluidic devices
-
Apr
-
U. Seger, S. Gawad, R. Johann, A. Bertsch, and P. Renaud, "Cell immersion and cell dipping in microfluidic devices," Lab Chip, vol. 4, no. 2, pp. 148-151, Apr. 2004.
-
(2004)
Lab Chip
, vol.4
, Issue.2
, pp. 148-151
-
-
Seger, U.1
Gawad, S.2
Johann, R.3
Bertsch, A.4
Renaud, P.5
-
112
-
-
66549118557
-
SunFloor 3D: A tool for networks on chip topology synthesis for 3D systems on chip
-
C. Seiculescu, S. Murali, L. Benini, and G. De Micheli, "SunFloor 3D: A tool for networks on chip topology synthesis for 3D systems on chip," in Proc. DATE, 2009, pp. 9-14.
-
(2009)
Proc. DATE
, pp. 9-14
-
-
Seiculescu, C.1
Murali, S.2
Benini, L.3
De Micheli, G.4
-
113
-
-
0029292281
-
Power conscious CAD tools and methodologies: A perspective
-
Apr
-
D. Singh, J. Rabaey, M. Pedram, F. Catthoor, S. Rajgopal, N. Seghal, and T. Mozdzen, "Power conscious CAD tools and methodologies: A perspective," Proc. IEEE, vol. 83, no. 4, pp. 570-594, Apr. 1995.
-
(1995)
Proc. IEEE
, vol.83
, Issue.4
, pp. 570-594
-
-
Singh, D.1
Rabaey, J.2
Pedram, M.3
Catthoor, F.4
Rajgopal, S.5
Seghal, N.6
Mozdzen, T.7
-
114
-
-
66549089191
-
-
Available
-
[Online]. Available: http://www.csem.ch/sfit/
-
-
-
-
115
-
-
24644517630
-
Architectural implications and process development of 3-D VLSI Z -Axis interconnects using through silicon vias
-
Aug
-
L. Schaper, S. Spiesshoefer, G. Vangara, Z. Rahman, and S. Polamreddy, "Architectural implications and process development of 3-D VLSI Z -Axis interconnects using through silicon vias," IEEE Trans. Adv. Packag., vol. 28, no. 3, pp. 356-366, Aug. 2005.
-
(2005)
IEEE Trans. Adv. Packag
, vol.28
, Issue.3
, pp. 356-366
-
-
Schaper, L.1
Spiesshoefer, S.2
Vangara, G.3
Rahman, Z.4
Polamreddy, S.5
-
116
-
-
0038718548
-
Synthesis of reversible logic circuits
-
Jun
-
V V Shende, A. K. Prasad, I. L. Markov, and J. P. Hayes, "Synthesis of reversible logic circuits," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 22, no. 6, pp. 710-722, Jun. 2003.
-
(2003)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.22
, Issue.6
, pp. 710-722
-
-
Shende, V.V.1
Prasad, A.K.2
Markov, I.L.3
Hayes, J.P.4
-
117
-
-
10444230343
-
A fully electronic DNA sensor with 128 positions and in-pixel A/D conversion
-
Dec
-
M. Schienle, C. Paulus, A. Frey, F. Hoffmann, B. Holzapfl, P. Schindelr-Bauer, and R. Thewes, "A fully electronic DNA sensor with 128 positions and in-pixel A/D conversion," IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2438-2445, Dec. 2004.
-
(2004)
IEEE J. Solid-State Circuits
, vol.39
, Issue.12
, pp. 2438-2445
-
-
Schienle, M.1
Paulus, C.2
Frey, A.3
Hoffmann, F.4
Holzapfl, B.5
Schindelr-Bauer, P.6
Thewes, R.7
-
118
-
-
0036184629
-
Probabilistic Boolean networks: A rule-based uncertainty model for gene regulatory network
-
Feb
-
I. Shmulevich, E. R. Dougherty, S. Kim, and W Zhang, "Probabilistic Boolean networks: A rule-based uncertainty model for gene regulatory network," Bioinformatics, vol. 18, no. 2, pp. 261-274, Feb. 2002.
-
(2002)
Bioinformatics
, vol.18
, Issue.2
, pp. 261-274
-
-
Shmulevich, I.1
Dougherty, E.R.2
Kim, S.3
Zhang, W.4
-
119
-
-
0032680865
-
GRASP: A search algorithm for propositional satisfiability
-
May
-
J. Silva and K. Sakallah, "GRASP: A search algorithm for propositional satisfiability," IEEE Trans. Comput., vol. 48, no. 5, pp. 506-521, May 1999.
-
(1999)
IEEE Trans. Comput
, vol.48
, Issue.5
, pp. 506-521
-
-
Silva, J.1
Sakallah, K.2
-
120
-
-
0035398882
-
Event-driven power management
-
Jul
-
T. Simunic, L. Benini, P. Glynn, and G. De Micheli, "Event-driven power management," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. vol. 20, no. 7, pp. 840-857, Jul. 2001.
-
(2001)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.20
, Issue.7
, pp. 840-857
-
-
Simunic, T.1
Benini, L.2
Glynn, P.3
De Micheli, G.4
-
121
-
-
33750941797
-
-
Poughkeepsie, NY: IBM
-
J. Srinivasan, S. V. Adve, P. Bose, J. Rivers, and C. K. Hu, RAMP: A Model for Reliability Aware Microprocessor Design. Poughkeepsie, NY: IBM, 2003.
-
(2003)
RAMP: A Model for Reliability Aware Microprocessor Design
-
-
Srinivasan, J.1
Adve, S.V.2
Bose, P.3
Rivers, J.4
Hu, C.K.5
-
122
-
-
34249780328
-
Fully electronic CMOS DNA detection array based on capacitance measurement with on-chip analog-to-digital conversion
-
San Francisco, CA
-
C. Stagni, D. Esposti, C. Guiducci, C. Paulus, M. Schienle, Maugustyniak, G. Zuccheri, B. Samori, L. Benini, B. Ricco, and R. Thewes, "Fully electronic CMOS DNA detection array based on capacitance measurement with on-chip analog-to-digital conversion," in Proc. ISSC, San Francisco, CA, 2006, pp. 69-78.
-
(2006)
Proc. ISSC
, pp. 69-78
-
-
Stagni, C.1
Esposti, D.2
Guiducci, C.3
Paulus, C.4
Schienle, M.5
Maugustyniak6
Zuccheri, G.7
Samori, B.8
Benini, L.9
Ricco, B.10
Thewes, R.11
-
123
-
-
0030247603
-
Combinational test generation using satisfiability
-
Sep
-
P. Stephan, R. K. Brayton, and A. L. Sangiovanni-Vincentelli, "Combinational test generation using satisfiability," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 15, no. 9, pp. 1167-1176, Sep. 1996.
-
(1996)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.15
, Issue.9
, pp. 1167-1176
-
-
Stephan, P.1
Brayton, R.K.2
Sangiovanni-Vincentelli, A.L.3
-
124
-
-
27344435774
-
Gene set enrichment analysis: A knowledge-based approach for interpreting genome-wide expression profiles
-
Oct
-
A. Subramanian, P. Tamayo, V. K. Mootha, S. Mukherjee, B. L. Ebert, M. A. Gillette, A. Paulovich, S. L. Pomeroy, T. R. Golub, E. S. Lander, and J. P. Mesirov, "Gene set enrichment analysis: A knowledge-based approach for interpreting genome-wide expression profiles," Proc. Nat. Acad. Sci. USA, vol. 102, no. 43, pp. 15545-15550, Oct. 2005.
-
(2005)
Proc. Nat. Acad. Sci. USA
, vol.102
, Issue.43
, pp. 15545-15550
-
-
Subramanian, A.1
Tamayo, P.2
Mootha, V.K.3
Mukherjee, S.4
Ebert, B.L.5
Gillette, M.A.6
Paulovich, A.7
Pomeroy, S.L.8
Golub, T.R.9
Lander, E.S.10
Mesirov, J.P.11
-
125
-
-
0027233282
-
Dependability measurement and modeling of a multicomputer system
-
Jan
-
D. Tang and R. Iyer, "Dependability measurement and modeling of a multicomputer system," IEEE Trans. Comput., vol. 42, no. 1, pp. 62-75, Jan. 1993.
-
(1993)
IEEE Trans. Comput
, vol.42
, Issue.1
, pp. 62-75
-
-
Tang, D.1
Iyer, R.2
-
126
-
-
0025948178
-
Regulatory networks seen as asynchronous automata: A logical description
-
R. Thomas, "Regulatory networks seen as asynchronous automata: A logical description," J. Theor. Biol., vol. 153, pp. 1-23, 1991.
-
(1991)
J. Theor. Biol
, vol.153
, pp. 1-23
-
-
Thomas, R.1
-
127
-
-
66549129444
-
-
Available
-
[Online]. Available: www.tilera.com
-
-
-
-
128
-
-
0022044545
-
Implementing a built-in self-test PLA design
-
Apr
-
R. Treuer, H. Fujiwara, and V. Agarwal, "Implementing a built-in self-test PLA design," IEEE Des. Test Comput., vol. 2, no. 2, pp. 37-48, Apr. 1985.
-
(1985)
IEEE Des. Test Comput
, vol.2
, Issue.2
, pp. 37-48
-
-
Treuer, R.1
Fujiwara, H.2
Agarwal, V.3
-
129
-
-
0002222889
-
Trends in miniaturized total analysis systems for point-of-care testing in clinical chemistry
-
Dec
-
A. Tüdos, G. Besselink, and Schasfoor, "Trends in miniaturized total analysis systems for point-of-care testing in clinical chemistry," Lab Chip, vol. 1, no. 2, pp. 83-95, Dec. 2001.
-
(2001)
Lab Chip
, vol.1
, Issue.2
, pp. 83-95
-
-
Tüdos, A.1
Besselink, G.2
Schasfoor3
-
130
-
-
0035942271
-
Significance analysis of microarrays applied to the ionizing radiation response
-
Apr
-
V. Tusher, R. Tibsharani, and G. Chu, "Significance analysis of microarrays applied to the ionizing radiation response," Proc. Nat. Acad. Sci. USA, vol. 98, no. 9, pp. 5116-5121, Apr. 2001.
-
(2001)
Proc. Nat. Acad. Sci. USA
, vol.98
, Issue.9
, pp. 5116-5121
-
-
Tusher, V.1
Tibsharani, R.2
Chu, G.3
-
131
-
-
34548858682
-
An 80-tile 1.28TFLOPS network-on-chip in 65 nm CMOS
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar, "An 80-tile 1.28TFLOPS network-on-chip in 65 nm CMOS," in Proc. Int. Solid-State Circuits Conf., 2007, pp. 98-99.
-
(2007)
Proc. Int. Solid-State Circuits Conf
, pp. 98-99
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Iyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
132
-
-
85008053864
-
An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS
-
Jan
-
S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar, "An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 29-41, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.R.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
133
-
-
37249000398
-
Two-dimensional schemes for clocking/timing of QCA circuits
-
Jan
-
V. Vankamamidi, M. Ottavi, and F. Lombardi, "Two-dimensional schemes for clocking/timing of QCA circuits," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 1, pp. 34-44, Jan. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.27
, Issue.1
, pp. 34-44
-
-
Vankamamidi, V.1
Ottavi, M.2
Lombardi, F.3
-
134
-
-
0036372484
-
Clustering by pattern similarity in large data sets
-
H. Wang, W. Wang, J. Yang, and P. Wu, "Clustering by pattern similarity in large data sets," in Proc. ACM Conf. Manage. Data, 2002, pp. 394-405.
-
(2002)
Proc. ACM Conf. Manage. Data
, pp. 394-405
-
-
Wang, H.1
Wang, W.2
Yang, J.3
Wu, P.4
-
135
-
-
66549125186
-
-
Available
-
[Online]. Available: http://www.cs.waseda.ac.jp/gcoe/eng/members/
-
-
-
-
136
-
-
4444341794
-
The future of microprocessor systems on chips
-
W. Wolf, "The future of microprocessor systems on chips," in Proc. DAC, 2004, pp. 681-685.
-
(2004)
Proc. DAC
, pp. 681-685
-
-
Wolf, W.1
-
138
-
-
27544510864
-
-
S. Yoon, C. Nardini, L. Benini, and G. De Micheli, Discovering coherent biclusters from gene expression data using zero-suppressed binary decision diagrams, IEEE/ACM Trans. Comput. Biol. Bioinf., 2, no. 4, pp. 339-354, Oct.-Dec. 2005.
-
S. Yoon, C. Nardini, L. Benini, and G. De Micheli, "Discovering coherent biclusters from gene expression data using zero-suppressed binary decision diagrams," IEEE/ACM Trans. Comput. Biol. Bioinf., vol. 2, no. 4, pp. 339-354, Oct.-Dec. 2005.
-
-
-
-
139
-
-
33846936834
-
-
S. Yoon, L. Benini, and G. De Micheli, Finding co-clusters of genes and clinical parameters, in Proc. EMBC, 2005, pp. 906-912. No. 11.3.1.4.
-
S. Yoon, L. Benini, and G. De Micheli, "Finding co-clusters of genes and clinical parameters," in Proc. EMBC, 2005, pp. 906-912. No. 11.3.1.4.
-
-
-
-
140
-
-
31344472202
-
A pattern mining method for high-throughput lab-on-chip data analysis
-
Feb
-
S. Yoon, L. Benini, and G. De Micheli, "A pattern mining method for high-throughput lab-on-chip data analysis," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 2, pp. 358-377, Feb. 2006.
-
(2006)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.25
, Issue.2
, pp. 358-377
-
-
Yoon, S.1
Benini, L.2
De Micheli, G.3
-
141
-
-
54949138053
-
BioRoute: A network-flow-based routing algorithm for the synthesis of digital microfluidic biochips
-
Nov
-
P.-H. Yuh, C.-L. Yang, and Y.-W. Chang, "BioRoute: A network-flow-based routing algorithm for the synthesis of digital microfluidic biochips," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. vol. 27, no. 11, pp. 1928-1941, Nov. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.27
, Issue.11
, pp. 1928-1941
-
-
Yuh, P.-H.1
Yang, C.-L.2
Chang, Y.-W.3
-
142
-
-
0037029761
-
Integrated hierarchical design of microelectrofluidic systems using SystemC
-
May
-
T. Zhang, K. Chakrabarty, and R. Fair, "Integrated hierarchical design of microelectrofluidic systems using SystemC," Microelectron. J., vol. 33, no. 5/6, pp. 459-470, May 2002.
-
(2002)
Microelectron. J
, vol.33
, Issue.5-6
, pp. 459-470
-
-
Zhang, T.1
Chakrabarty, K.2
Fair, R.3
-
143
-
-
0036683870
-
Design of reconfigurable composite microsystems based on hardware/software codesign principles
-
Aug
-
T. Zhang, K. Chakrabarty, and R. Fair, "Design of reconfigurable composite microsystems based on hardware/software codesign principles," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 31, no. 8, pp. 987-995, Aug. 2002.
-
(2002)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.31
, Issue.8
, pp. 987-995
-
-
Zhang, T.1
Chakrabarty, K.2
Fair, R.3
-
144
-
-
50249153041
-
3D-STAF: Scalable temperature and leakage aware floorplanning for 3-dimensional integrated circuits
-
P. Zhou, Y. Ma, Z. Li, R. Dick, L. Shang, H. Zhou, X. Hong, and Q. Zhou, "3D-STAF: Scalable temperature and leakage aware floorplanning for 3-dimensional integrated circuits," in Proc. ICCAD, 2007, pp. 590-597.
-
(2007)
Proc. ICCAD
, pp. 590-597
-
-
Zhou, P.1
Ma, Y.2
Li, Z.3
Dick, R.4
Shang, L.5
Zhou, H.6
Hong, X.7
Zhou, Q.8
|