메뉴 건너뛰기




Volumn 28, Issue 6, 2009, Pages 777-790

An outlook on design technologies for future integrated systems

Author keywords

3 D integration; Computer aided design; Cooperative engineering; Electronic design automation (EDA); Gene regulatory networks; Lab on Chip (LoC); Microarray; Nanoarchitectures; Nanoelectronic; Nanotechnology; Networks on chips; System on Chip (SoC); VLSI

Indexed keywords

3-D INTEGRATION; COOPERATIVE ENGINEERING; ELECTRONIC DESIGN AUTOMATION (EDA); GENE REGULATORY NETWORKS; LAB ON CHIP (LOC); NANOARCHITECTURES; NETWORKS ON CHIPS; SYSTEM ON CHIP (SOC); VLSI;

EID: 66549129194     PISSN: 02780070     EISSN: None     Source Type: Journal    
DOI: 10.1109/TCAD.2009.2021008     Document Type: Article
Times cited : (22)

References (144)
  • 1
    • 66549114709 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.affymetrix.com/index.affx
  • 5
    • 34047170421 scopus 로고    scopus 로고
    • Contrasting a NoC and a traditional interconnect fabric with layout awareness
    • Mar. 6-10
    • F. Angiolini, P. Meloni, S. Carta, L. Benini, and L. Raffo, "Contrasting a NoC and a traditional interconnect fabric with layout awareness," in Des., Autom. Test Eur. Conf., Mar. 6-10, 2006, pp. 124-129.
    • (2006) Des., Autom. Test Eur. Conf , pp. 124-129
    • Angiolini, F.1    Meloni, P.2    Carta, S.3    Benini, L.4    Raffo, L.5
  • 8
    • 27144503350 scopus 로고    scopus 로고
    • Bridging dimensions: Demultiplexing ultrahigh-density nanowire circuits
    • Oct. 21
    • R. Beckman, E. Johnston-Halperin, Y. Luo, J. Green, and J. Heath, "Bridging dimensions: Demultiplexing ultrahigh-density nanowire circuits," Science, vol. 310, no. 5747, pp. 465-468, Oct. 21, 2005.
    • (2005) Science , vol.310 , Issue.5747 , pp. 465-468
    • Beckman, R.1    Johnston-Halperin, E.2    Luo, Y.3    Green, J.4    Heath, J.5
  • 10
  • 11
    • 0036149420 scopus 로고    scopus 로고
    • Networks on chip; A new design paradigm
    • Jan
    • L. Benini and G. De Micheli, "Networks on chip; A new design paradigm," Computer, vol. 35, no. 1, pp. 70-78, Jan. 2002.
    • (2002) Computer , vol.35 , Issue.1 , pp. 70-78
    • Benini, L.1    De Micheli, G.2
  • 13
    • 0001266829 scopus 로고    scopus 로고
    • A feasibility study of a capacitive biosensor for direct detection of DNA hybridization
    • Mar
    • C. Berggren, P. Stalhandske, J. Brundell, and G. Johansson, "A feasibility study of a capacitive biosensor for direct detection of DNA hybridization," Electroanalysis, vol. 11, no. 3, p. 11, Mar. 1999.
    • (1999) Electroanalysis , vol.11 , Issue.3 , pp. 11
    • Berggren, C.1    Stalhandske, P.2    Brundell, J.3    Johansson, G.4
  • 16
    • 66549104212 scopus 로고    scopus 로고
    • Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis
    • S. Bobba, J. Zhang, A. Pullini, D. Atienza, S. Mitra, and G. De Micheli, "Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis," in DATE, 2009, pp. 616-621.
    • (2009) DATE , pp. 616-621
    • Bobba, S.1    Zhang, J.2    Pullini, A.3    Atienza, D.4    Mitra, S.5    De Micheli, G.6
  • 17
    • 0022769976 scopus 로고
    • Graph-based algorithms for Boolean function manipulation
    • Aug
    • R. Bryant, "Graph-based algorithms for Boolean function manipulation," IEEE Trans. Comput., vol. C-35, no. 8, pp. 677-691, Aug. 1986.
    • (1986) IEEE Trans. Comput , vol.C-35 , Issue.8 , pp. 677-691
    • Bryant, R.1
  • 18
    • 66549084335 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://bwrc.eecs.berkeley.edu/
  • 20
    • 33750994618 scopus 로고    scopus 로고
    • Realistic limits to computation. II. The technological side
    • Jan
    • G. Cerofolini, "Realistic limits to computation. II. The technological side," Appl. Phys. A, Mater. Sci. Process., vol. 86, no. 1, pp. 31-42, Jan. 2007.
    • (2007) Appl. Phys. A, Mater. Sci. Process , vol.86 , Issue.1 , pp. 31-42
    • Cerofolini, G.1
  • 23
    • 0034566393 scopus 로고    scopus 로고
    • Biclustering of expression data
    • Y. Cheng and G. Church, "Biclustering of expression data," in Proc. ISMB, 2000, pp. 93-103.
    • (2000) Proc. ISMB , pp. 93-103
    • Cheng, Y.1    Church, G.2
  • 25
    • 52649171407 scopus 로고    scopus 로고
    • A high-performance droplet routing algorithm for digital microfluidic biochips
    • Oct
    • M. Cho and D. Pan, "A high-performance droplet routing algorithm for digital microfluidic biochips," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 10, pp. 1714-1724, Oct. 2008.
    • (2008) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst , vol.27 , Issue.10 , pp. 1714-1724
    • Cho, M.1    Pan, D.2
  • 26
    • 50249160623 scopus 로고    scopus 로고
    • Fabrication and characterization of carbon nanotube interconnects
    • Washington, DC, Dec. 10-12
    • G. F. Close and H.-S. P. Wong, "Fabrication and characterization of carbon nanotube interconnects," in IEDM Tech. Dig., Washington, DC, Dec. 10-12, 2007, pp. 203-206.
    • (2007) IEDM Tech. Dig , pp. 203-206
    • Close, G.F.1    Wong, H.-S.P.2
  • 27
    • 16244385917 scopus 로고    scopus 로고
    • A thermal-driven floorplanning algorithm for 3D ICs
    • J. Cong, J. Wei, and Y. Zhang, "A thermal-driven floorplanning algorithm for 3D ICs," in Proc. ICCAD, 2004, pp. 306-313.
    • (2004) Proc. ICCAD , pp. 306-313
    • Cong, J.1    Wei, J.2    Zhang, Y.3
  • 28
    • 0042660763 scopus 로고    scopus 로고
    • Speech and language processing for next-millennium communications services
    • Aug
    • R. V. Cox, C. A. Kamm, L. R. Rabiner, J. Schroeter, and J. G. Wilpon, "Speech and language processing for next-millennium communications services," Proc. IEEE, vol. 88, no. 8, pp. 1314-1337, Aug. 2000.
    • (2000) Proc. IEEE , vol.88 , Issue.8 , pp. 1314-1337
    • Cox, R.V.1    Kamm, C.A.2    Rabiner, L.R.3    Schroeter, J.4    Wilpon, J.G.5
  • 29
    • 0344981523 scopus 로고    scopus 로고
    • Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs
    • M. Dall'Osso, G. Biccari, L. Giovannini, D. Bertozzi, and L. Benini, "Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs," in Int. Conf. Comput. Des., 2003, pp. 536-539.
    • (2003) Int. Conf. Comput. Des , pp. 536-539
    • Dall'Osso, M.1    Biccari, G.2    Giovannini, L.3    Bertozzi, D.4    Benini, L.5
  • 30
    • 0034848112 scopus 로고    scopus 로고
    • Route packets, not wires: On-chip interconnection networks
    • W. Dally and B. Towles, "Route packets, not wires: On-chip interconnection networks," in Proc. DAC, 2001, pp. 684-689.
    • (2001) Proc. DAC , pp. 684-689
    • Dally, W.1    Towles, B.2
  • 31
    • 0141499770 scopus 로고    scopus 로고
    • Array-based architecture for FET-based nanoscale electronics
    • Mar
    • A. DeHon, "Array-based architecture for FET-based nanoscale electronics," IEEE Trans. Nanotechnol., vol. 2, no. 1, pp. 23-32, Mar. 2003.
    • (2003) IEEE Trans. Nanotechnol , vol.2 , Issue.1 , pp. 23-32
    • DeHon, A.1
  • 32
    • 2442617450 scopus 로고    scopus 로고
    • Stochastic assembly of sublithographic nanoscale interfaces
    • Sep
    • A. DeHon, P. Lincoln, and J. E. Savage, "Stochastic assembly of sublithographic nanoscale interfaces," IEEE Trans. Nanotechnol., vol. 2, no. 3, pp. 165-174, Sep. 2003.
    • (2003) IEEE Trans. Nanotechnol , vol.2 , Issue.3 , pp. 165-174
    • DeHon, A.1    Lincoln, P.2    Savage, J.E.3
  • 35
    • 66549105205 scopus 로고    scopus 로고
    • Continuous-flow separation of cells in a lab-on-a-chip using liquid electrodes and multiple-frequency dielectrophoresis,
    • Ph.D. dissertation, EPFL, Lausanne, Switzerland
    • N. Demierre, "Continuous-flow separation of cells in a lab-on-a-chip using liquid electrodes and multiple-frequency dielectrophoresis," Ph.D. dissertation, EPFL, Lausanne, Switzerland, 2008.
    • (2008)
    • Demierre, N.1
  • 36
  • 37
    • 0035670881 scopus 로고    scopus 로고
    • Scheduling of microfluidic operations for reconfigurable two-dimensional electrowetting arrays
    • Dec
    • J. Ding, K. Chakrabarty, and R. Fair, "Scheduling of microfluidic operations for reconfigurable two-dimensional electrowetting arrays," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 20, no. 12, pp. 1463-1468, Dec. 2001.
    • (2001) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst , vol.20 , Issue.12 , pp. 1463-1468
    • Ding, J.1    Chakrabarty, K.2    Fair, R.3
  • 40
    • 0032441150 scopus 로고    scopus 로고
    • M. Eisen, P. Spellman, P. Brown, and D. Bostein, Cluster analysis and display of genome-wide expression patterns, Proc. Nat. Acad. Sci., 95, no. 25, pp. 14 863-14 868, Dec. 1998.
    • M. Eisen, P. Spellman, P. Brown, and D. Bostein, "Cluster analysis and display of genome-wide expression patterns," Proc. Nat. Acad. Sci., vol. 95, no. 25, pp. 14 863-14 868, Dec. 1998.
  • 42
    • 16644389406 scopus 로고    scopus 로고
    • A gene regulatory network model for cell fate determination during Arabidopsis thaliana flower development that is robust and recovers experimental gene expression profiles
    • Nov
    • C. Espinosa-Soto, P. Padilla-Longoria, and E. Alvarez-Buy illa, "A gene regulatory network model for cell fate determination during Arabidopsis thaliana flower development that is robust and recovers experimental gene expression profiles," Plant. Cell, vol. 16, no. 11, pp. 2923-2939, Nov. 2004.
    • (2004) Plant. Cell , vol.16 , Issue.11 , pp. 2923-2939
    • Espinosa-Soto, C.1    Padilla-Longoria, P.2    Alvarez-Buy illa, E.3
  • 43
    • 0033707946 scopus 로고    scopus 로고
    • Using Bayesian networks to analyze expression data
    • Aug
    • N. Friedman, M. Linial, I. Nachman, and D. Pe'er, "Using Bayesian networks to analyze expression data," J. Comput. Biol., vol. 7, no. 3/4, pp. 601-620, Aug. 2000.
    • (2000) J. Comput. Biol , vol.7 , Issue.3-4 , pp. 601-620
    • Friedman, N.1    Linial, M.2    Nachman, I.3    Pe'er, D.4
  • 46
    • 34547480306 scopus 로고    scopus 로고
    • An efficient method for dynamic analysis of gene regulatory networks and in silico gene perturbation experiments
    • A. Garg, I. Xenarios, L. Mendoza, and G. De Micheli, "An efficient method for dynamic analysis of gene regulatory networks and in silico gene perturbation experiments," in Proc. RECOMB, 2007, pp. 62-67.
    • (2007) Proc. RECOMB , pp. 62-67
    • Garg, A.1    Xenarios, I.2    Mendoza, L.3    De Micheli, G.4
  • 47
    • 57649233197 scopus 로고    scopus 로고
    • Modeling of multiple valued gene regulatory networks
    • A. Garg, L. Mendoza, I. Xenarios, and G. De Micheli, "Modeling of multiple valued gene regulatory networks," in Proc. EMBC, 2007, pp.1398-1403.
    • (2007) Proc. EMBC , pp. 1398-1403
    • Garg, A.1    Mendoza, L.2    Xenarios, I.3    De Micheli, G.4
  • 48
    • 66549108837 scopus 로고    scopus 로고
    • Implicit methods for probabilistic modeling of gene regulatory networks
    • A. Garg, D. Banerjee, and G. De Micheli, "Implicit methods for probabilistic modeling of gene regulatory networks," in Proc. EMBC, 2008, pp. 1398-1404.
    • (2008) Proc. EMBC , pp. 1398-1404
    • Garg, A.1    Banerjee, D.2    De Micheli, G.3
  • 49
    • 50549088838 scopus 로고    scopus 로고
    • Synchronous vs. asynchronous modeling of gene regulatory networks
    • Sep
    • A. Garg, A. DiCara, I. Xenarios, L. Mendoza, and G. De Micheli, "Synchronous vs. asynchronous modeling of gene regulatory networks," Bioinformatics, vol. 24, no. 17, pp. 1917-1925, Sep. 2008.
    • (2008) Bioinformatics , vol.24 , Issue.17 , pp. 1917-1925
    • Garg, A.1    DiCara, A.2    Xenarios, I.3    Mendoza, L.4    De Micheli, G.5
  • 50
    • 66549110036 scopus 로고    scopus 로고
    • Personal Communication
    • A. Garg, Personal Communication.
    • Garg, A.1
  • 52
    • 34250209135 scopus 로고    scopus 로고
    • BerkMin: A fast and robust SAT solver
    • Jun
    • E. Goldberg and Y Novikov, "BerkMin: A fast and robust SAT solver," Discrete Appl. Math., vol. 155, no. 12, pp. 1549-1561, Jun. 2007.
    • (2007) Discrete Appl. Math , vol.155 , Issue.12 , pp. 1549-1561
    • Goldberg, E.1    Novikov, Y.2
  • 53
    • 27344456043 scopus 로고    scopus 로고
    • AEthrereal network on chip: Concepts, architectures and implementations
    • Sep./Oct
    • K. Goossens, J. Dielissens, and A. Radulescu, "AEthrereal network on chip: Concepts, architectures and implementations," IEEE Des. Test Comput., vol. 22, no. 5, pp. 414-421, Sep./Oct. 2001.
    • (2001) IEEE Des. Test Comput , vol.22 , Issue.5 , pp. 414-421
    • Goossens, K.1    Dielissens, J.2    Radulescu, A.3
  • 54
    • 84893687806 scopus 로고    scopus 로고
    • A generic architecture for on-chip packet-switched interconnections
    • P. Guerrier and A. Greiner, "A generic architecture for on-chip packet-switched interconnections," in Des. Autom. Test Eur. Conf., 2000, pp. 250-256.
    • (2000) Des. Autom. Test Eur. Conf , pp. 250-256
    • Guerrier, P.1    Greiner, A.2
  • 56
    • 33645013400 scopus 로고    scopus 로고
    • Assembling nanoscale circuits with randomized connections
    • Mar
    • T. Hogg, Y Chen, and P. J. Kuekes, "Assembling nanoscale circuits with randomized connections," IEEE Trans. Nanotechnol., vol. 5, no. 2, pp. 110-122, Mar. 2006.
    • (2006) IEEE Trans. Nanotechnol , vol.5 , Issue.2 , pp. 110-122
    • Hogg, T.1    Chen, Y.2    Kuekes, P.J.3
  • 57
    • 66549099471 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://hpwren.ucsd.edu/
  • 58
    • 66549096472 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.zurich.ibm.com/news/08/3D-cooling. html
  • 60
    • 66549096218 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.zurich.ibm.com/news/08/3D-cooling. html
  • 63
    • 0014489272 scopus 로고
    • Metabolic stability and epigenesis in randomly constructed genetic nets
    • Mar
    • S. A. Kauffman, "Metabolic stability and epigenesis in randomly constructed genetic nets," J. Theor. Biol., vol. 22, no. 3, pp. 437-467, Mar. 1969.
    • (1969) J. Theor. Biol , vol.22 , Issue.3 , pp. 437-467
    • Kauffman, S.A.1
  • 64
    • 66549089005 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.genome.jp/kegg/
  • 69
    • 44649148310 scopus 로고    scopus 로고
    • Microparticle photometry in a CMOS microsystem combining magnetic actuation and in situ optical detection
    • Jun. 16
    • U. Lehmann, M. Sergio, S. Pietrocola, C. Niclass, E. Charbon, and M. A. M. Gijs, "Microparticle photometry in a CMOS microsystem combining magnetic actuation and in situ optical detection," Sens. Actuators B, Chem., vol. 132, no. 2, pp. 411-417, Jun. 16, 2008.
    • (2008) Sens. Actuators B, Chem , vol.132 , Issue.2 , pp. 411-417
    • Lehmann, U.1    Sergio, M.2    Pietrocola, S.3    Niclass, C.4    Charbon, E.5    Gijs, M.A.M.6
  • 70
    • 66549123941 scopus 로고    scopus 로고
    • Manipulation of magnetic microparticles in liquid phases for on-chip biomedical analysis methods,
    • Ph.D. dissertation, EPFL, Lausanne, Switzerland
    • U. Lehmann, "Manipulation of magnetic microparticles in liquid phases for on-chip biomedical analysis methods," Ph.D. dissertation, EPFL, Lausanne, Switzerland, 2008.
    • (2008)
    • Lehmann, U.1
  • 72
    • 28344435928 scopus 로고    scopus 로고
    • Physical design for 3D systems on package
    • Nov./Dec
    • S. Lim, "Physical design for 3D systems on package," IEEE Des. Test Comput., vol. 22, no. 6, pp. 532-539, Nov./Dec. 2005.
    • (2005) IEEE Des. Test Comput , vol.22 , Issue.6 , pp. 532-539
    • Lim, S.1
  • 74
    • 57049166597 scopus 로고    scopus 로고
    • DNA computing for work and play
    • Nov
    • J. Macdonald, D. Stefanovic, and M. Stojanovic, "DNA computing for work and play," Sci. Amer., vol. 299, no. 5, pp. 60-67, Nov. 2008.
    • (2008) Sci. Amer , vol.299 , Issue.5 , pp. 60-67
    • Macdonald, J.1    Stefanovic, D.2    Stojanovic, M.3
  • 75
    • 3142768191 scopus 로고    scopus 로고
    • S. Madeira and A. Oliveira, Biclustering algorithms for biological data analysis: A survey, IEEE/ACM Trans. Comput. Biol. Bioinf., 1, no. 1, pp. 24-45, Jan.-Mar. 2004.
    • S. Madeira and A. Oliveira, "Biclustering algorithms for biological data analysis: A survey," IEEE/ACM Trans. Comput. Biol. Bioinf., vol. 1, no. 1, pp. 24-45, Jan.-Mar. 2004.
  • 76
    • 0025207507 scopus 로고
    • Miniaturized total chemical analysis systems: A novel concept for chemical sensing
    • Jan
    • A. Manz, N. Graber, and H. M. Widmer, "Miniaturized total chemical analysis systems: A novel concept for chemical sensing," Sens. Actuators B, Chem., vol. 1, no. 1-6, pp. 244-248, Jan. 1990.
    • (1990) Sens. Actuators B, Chem , vol.1 , Issue.1-6 , pp. 244-248
    • Manz, A.1    Graber, N.2    Widmer, H.M.3
  • 79
    • 0042850597 scopus 로고    scopus 로고
    • Interconnect opportunities for gigascale integration
    • May/Jun
    • J. D. Meindl, "Interconnect opportunities for gigascale integration," IEEE Micro, vol. 23, no. 3, pp. 28-35, May/Jun. 2003.
    • (2003) IEEE Micro , vol.23 , Issue.3 , pp. 28-35
    • Meindl, J.D.1
  • 80
    • 33646346114 scopus 로고    scopus 로고
    • A method for the generation of standardized qualitative dynamical systems of regulatory networks
    • L. Mendoza and I. Xenarios, "A method for the generation of standardized qualitative dynamical systems of regulatory networks," Theor. Biol. Med. Model., vol. 3, p. 13, 2006.
    • (2006) Theor. Biol. Med. Model , vol.3 , pp. 13
    • Mendoza, L.1    Xenarios, I.2
  • 81
    • 66549107804 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.mics.org/
  • 85
    • 0031277606 scopus 로고    scopus 로고
    • Capacitive monitoring of protein immobilization and antigen-antibody reactions on monomolecular alkylthiol films on gold electrodes
    • V. Mirsky, M. Riepl, and O. Wolfbeis, "Capacitive monitoring of protein immobilization and antigen-antibody reactions on monomolecular alkylthiol films on gold electrodes," Biosens. Biolectron., vol. 12, no. 9/10, pp. 977-989, 1997.
    • (1997) Biosens. Biolectron , vol.12 , Issue.9-10 , pp. 977-989
    • Mirsky, V.1    Riepl, M.2    Wolfbeis, O.3
  • 86
    • 0036907178 scopus 로고    scopus 로고
    • Whirlpool PLAs: A regular logic structure and their synthesis
    • F. Mo and R. Brayton, "Whirlpool PLAs: A regular logic structure and their synthesis," in Proc. ICCAD, 2002, pp. 543-550.
    • (2002) Proc. ICCAD , pp. 543-550
    • Mo, F.1    Brayton, R.2
  • 87
    • 49049096892 scopus 로고    scopus 로고
    • Hysteretic inverter-on-a-body-tied-wire based on less-than-10 mV/decade abrupt punch-through impact ionization MOS PIMOS switch
    • Hsinchu, Taiwan, Apr. 21-23
    • K. E. Moselund, V. Pott, D. Bouvet, and A. M. Ionescu, "Hysteretic inverter-on-a-body-tied-wire based on less-than-10 mV/decade abrupt punch-through impact ionization MOS PIMOS switch," in Proc. Int. Symp. VLSI-TSA, Hsinchu, Taiwan, Apr. 21-23, 2008.
    • (2008) Proc. Int. Symp. VLSI-TSA
    • Moselund, K.E.1    Pott, V.2    Bouvet, D.3    Ionescu, A.M.4
  • 91
    • 0036885067 scopus 로고    scopus 로고
    • The lineage decisions on helper T cells
    • Dec
    • K. M. Murphy and S. L. Reiner, "The lineage decisions on helper T cells," Nat. Rev., Immunol., vol. 2, no. 12, pp. 933-944, Dec. 2002.
    • (2002) Nat. Rev., Immunol , vol.2 , Issue.12 , pp. 933-944
    • Murphy, K.M.1    Reiner, S.L.2
  • 93
    • 33748604580 scopus 로고    scopus 로고
    • Circuits and systems for high-throughput biology
    • C. Nardini, L. Benini, and G. De Micheli, "Circuits and systems for high-throughput biology," IEEE Circuits Syst. Mag., vol. 6, no. 3, pp. 10-20, 2006.
    • (2006) IEEE Circuits Syst. Mag , vol.6 , Issue.3 , pp. 10-20
    • Nardini, C.1    Benini, L.2    De Micheli, G.3
  • 94
    • 66549125931 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: www.nano-tera.ch
  • 95
    • 66549094333 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.ocpip.org/home
  • 96
    • 17044365390 scopus 로고    scopus 로고
    • Energy scavenging for mobile and wireless electronics
    • Jan.-Mar
    • J. Paradiso and T. Starner, "Energy scavenging for mobile and wireless electronics," Pervasive Comput., vol. 4, no. 1, pp. 18-27, Jan.-Mar. 2005.
    • (2005) Pervasive Comput , vol.4 , Issue.1 , pp. 18-27
    • Paradiso, J.1    Starner, T.2
  • 97
    • 34547287170 scopus 로고    scopus 로고
    • Automated design of misaligned-carbon-nanotube-immune circuits
    • Jun
    • N. Patil, D. Jie, H.-S. P. Wong, and S. Mitra, "Automated design of misaligned-carbon-nanotube-immune circuits," in Des. Autom. Conf., Jun. 2007, pp. 958-961.
    • (2007) Des. Autom. Conf , pp. 958-961
    • Patil, N.1    Jie, D.2    Wong, H.-S.P.3    Mitra, S.4
  • 98
    • 0028246289 scopus 로고
    • Light-generated oligonucleotide arrays for rapid DNA sequencing analysis
    • May
    • A. Pease, D. Solas, E. J. Sullivan, M. T. Cronin, C. P. Holmes, and S. P. Fodor, "Light-generated oligonucleotide arrays for rapid DNA sequencing analysis," Proc. Nat. Acad. Sci., vol. 91, no. 11, pp. 5022-5026, May 1994.
    • (1994) Proc. Nat. Acad. Sci , vol.91 , Issue.11 , pp. 5022-5026
    • Pease, A.1    Solas, D.2    Sullivan, E.J.3    Cronin, M.T.4    Holmes, C.P.5    Fodor, S.P.6
  • 100
    • 0041592439 scopus 로고    scopus 로고
    • Complex instruction and software library mapping for embedded software using symbolic algebra
    • Aug
    • A. Peymandoust, T. Simunic, and G. De Micheli, "Complex instruction and software library mapping for embedded software using symbolic algebra," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 22, no. 8, pp. 964-975, Aug. 2003.
    • (2003) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst , vol.22 , Issue.8 , pp. 964-975
    • Peymandoust, A.1    Simunic, T.2    De Micheli, G.3
  • 103
    • 0035375137 scopus 로고    scopus 로고
    • Computational analysis of microrarray data
    • Jun
    • J. Quackenbush, "Computational analysis of microrarray data," Nat. Rev. Genet., vol. 2, no. 6, pp. 418-427, Jun. 2001.
    • (2001) Nat. Rev. Genet , vol.2 , Issue.6 , pp. 418-427
    • Quackenbush, J.1
  • 104
    • 0032667392 scopus 로고    scopus 로고
    • Dynamic power management based on continuos-time Markov decision processes
    • Q. Qiu and M. Pedram, "Dynamic power management based on continuos-time Markov decision processes," in Des. Autom. Conf., 1999, pp. 555-561.
    • (1999) Des. Autom. Conf , pp. 555-561
    • Qiu, Q.1    Pedram, M.2
  • 105
    • 46149095528 scopus 로고    scopus 로고
    • Nanowire addressing with randomized-contact decoders
    • E. Rachlin and J. Savage, "Nanowire addressing with randomized-contact decoders," in Proc. ICCAD, 2006, pp. 735-742.
    • (2006) Proc. ICCAD , pp. 735-742
    • Rachlin, E.1    Savage, J.2
  • 106
    • 0037078982 scopus 로고    scopus 로고
    • Control, exploitation and tolerance of intracellular noise
    • Nov
    • C. V. Rao, D. M. Wolf, and A. P. Arkin, "Control, exploitation and tolerance of intracellular noise," Nature, vol. 420, no. 6912, pp. 231-237, Nov. 2002.
    • (2002) Nature , vol.420 , Issue.6912 , pp. 231-237
    • Rao, C.V.1    Wolf, D.M.2    Arkin, A.P.3
  • 110
    • 84960368426 scopus 로고    scopus 로고
    • Group testing with DNA chips: Generating designs and decoding experiments
    • A. Schliep, D. C. Torney, and S. Rahmann, "Group testing with DNA chips: Generating designs and decoding experiments," in Proc. IEEE CSB, 2003, pp. 84-91.
    • (2003) Proc. IEEE CSB , pp. 84-91
    • Schliep, A.1    Torney, D.C.2    Rahmann, S.3
  • 111
    • 1942437985 scopus 로고    scopus 로고
    • Cell immersion and cell dipping in microfluidic devices
    • Apr
    • U. Seger, S. Gawad, R. Johann, A. Bertsch, and P. Renaud, "Cell immersion and cell dipping in microfluidic devices," Lab Chip, vol. 4, no. 2, pp. 148-151, Apr. 2004.
    • (2004) Lab Chip , vol.4 , Issue.2 , pp. 148-151
    • Seger, U.1    Gawad, S.2    Johann, R.3    Bertsch, A.4    Renaud, P.5
  • 112
    • 66549118557 scopus 로고    scopus 로고
    • SunFloor 3D: A tool for networks on chip topology synthesis for 3D systems on chip
    • C. Seiculescu, S. Murali, L. Benini, and G. De Micheli, "SunFloor 3D: A tool for networks on chip topology synthesis for 3D systems on chip," in Proc. DATE, 2009, pp. 9-14.
    • (2009) Proc. DATE , pp. 9-14
    • Seiculescu, C.1    Murali, S.2    Benini, L.3    De Micheli, G.4
  • 114
    • 66549089191 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.csem.ch/sfit/
  • 115
    • 24644517630 scopus 로고    scopus 로고
    • Architectural implications and process development of 3-D VLSI Z -Axis interconnects using through silicon vias
    • Aug
    • L. Schaper, S. Spiesshoefer, G. Vangara, Z. Rahman, and S. Polamreddy, "Architectural implications and process development of 3-D VLSI Z -Axis interconnects using through silicon vias," IEEE Trans. Adv. Packag., vol. 28, no. 3, pp. 356-366, Aug. 2005.
    • (2005) IEEE Trans. Adv. Packag , vol.28 , Issue.3 , pp. 356-366
    • Schaper, L.1    Spiesshoefer, S.2    Vangara, G.3    Rahman, Z.4    Polamreddy, S.5
  • 118
    • 0036184629 scopus 로고    scopus 로고
    • Probabilistic Boolean networks: A rule-based uncertainty model for gene regulatory network
    • Feb
    • I. Shmulevich, E. R. Dougherty, S. Kim, and W Zhang, "Probabilistic Boolean networks: A rule-based uncertainty model for gene regulatory network," Bioinformatics, vol. 18, no. 2, pp. 261-274, Feb. 2002.
    • (2002) Bioinformatics , vol.18 , Issue.2 , pp. 261-274
    • Shmulevich, I.1    Dougherty, E.R.2    Kim, S.3    Zhang, W.4
  • 119
    • 0032680865 scopus 로고    scopus 로고
    • GRASP: A search algorithm for propositional satisfiability
    • May
    • J. Silva and K. Sakallah, "GRASP: A search algorithm for propositional satisfiability," IEEE Trans. Comput., vol. 48, no. 5, pp. 506-521, May 1999.
    • (1999) IEEE Trans. Comput , vol.48 , Issue.5 , pp. 506-521
    • Silva, J.1    Sakallah, K.2
  • 125
    • 0027233282 scopus 로고
    • Dependability measurement and modeling of a multicomputer system
    • Jan
    • D. Tang and R. Iyer, "Dependability measurement and modeling of a multicomputer system," IEEE Trans. Comput., vol. 42, no. 1, pp. 62-75, Jan. 1993.
    • (1993) IEEE Trans. Comput , vol.42 , Issue.1 , pp. 62-75
    • Tang, D.1    Iyer, R.2
  • 126
    • 0025948178 scopus 로고
    • Regulatory networks seen as asynchronous automata: A logical description
    • R. Thomas, "Regulatory networks seen as asynchronous automata: A logical description," J. Theor. Biol., vol. 153, pp. 1-23, 1991.
    • (1991) J. Theor. Biol , vol.153 , pp. 1-23
    • Thomas, R.1
  • 127
    • 66549129444 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: www.tilera.com
  • 128
    • 0022044545 scopus 로고
    • Implementing a built-in self-test PLA design
    • Apr
    • R. Treuer, H. Fujiwara, and V. Agarwal, "Implementing a built-in self-test PLA design," IEEE Des. Test Comput., vol. 2, no. 2, pp. 37-48, Apr. 1985.
    • (1985) IEEE Des. Test Comput , vol.2 , Issue.2 , pp. 37-48
    • Treuer, R.1    Fujiwara, H.2    Agarwal, V.3
  • 129
    • 0002222889 scopus 로고    scopus 로고
    • Trends in miniaturized total analysis systems for point-of-care testing in clinical chemistry
    • Dec
    • A. Tüdos, G. Besselink, and Schasfoor, "Trends in miniaturized total analysis systems for point-of-care testing in clinical chemistry," Lab Chip, vol. 1, no. 2, pp. 83-95, Dec. 2001.
    • (2001) Lab Chip , vol.1 , Issue.2 , pp. 83-95
    • Tüdos, A.1    Besselink, G.2    Schasfoor3
  • 130
    • 0035942271 scopus 로고    scopus 로고
    • Significance analysis of microarrays applied to the ionizing radiation response
    • Apr
    • V. Tusher, R. Tibsharani, and G. Chu, "Significance analysis of microarrays applied to the ionizing radiation response," Proc. Nat. Acad. Sci. USA, vol. 98, no. 9, pp. 5116-5121, Apr. 2001.
    • (2001) Proc. Nat. Acad. Sci. USA , vol.98 , Issue.9 , pp. 5116-5121
    • Tusher, V.1    Tibsharani, R.2    Chu, G.3
  • 134
  • 135
    • 66549125186 scopus 로고    scopus 로고
    • Available
    • [Online]. Available: http://www.cs.waseda.ac.jp/gcoe/eng/members/
  • 136
    • 4444341794 scopus 로고    scopus 로고
    • The future of microprocessor systems on chips
    • W. Wolf, "The future of microprocessor systems on chips," in Proc. DAC, 2004, pp. 681-685.
    • (2004) Proc. DAC , pp. 681-685
    • Wolf, W.1
  • 138
    • 27544510864 scopus 로고    scopus 로고
    • S. Yoon, C. Nardini, L. Benini, and G. De Micheli, Discovering coherent biclusters from gene expression data using zero-suppressed binary decision diagrams, IEEE/ACM Trans. Comput. Biol. Bioinf., 2, no. 4, pp. 339-354, Oct.-Dec. 2005.
    • S. Yoon, C. Nardini, L. Benini, and G. De Micheli, "Discovering coherent biclusters from gene expression data using zero-suppressed binary decision diagrams," IEEE/ACM Trans. Comput. Biol. Bioinf., vol. 2, no. 4, pp. 339-354, Oct.-Dec. 2005.
  • 139
    • 33846936834 scopus 로고    scopus 로고
    • S. Yoon, L. Benini, and G. De Micheli, Finding co-clusters of genes and clinical parameters, in Proc. EMBC, 2005, pp. 906-912. No. 11.3.1.4.
    • S. Yoon, L. Benini, and G. De Micheli, "Finding co-clusters of genes and clinical parameters," in Proc. EMBC, 2005, pp. 906-912. No. 11.3.1.4.
  • 141
    • 54949138053 scopus 로고    scopus 로고
    • BioRoute: A network-flow-based routing algorithm for the synthesis of digital microfluidic biochips
    • Nov
    • P.-H. Yuh, C.-L. Yang, and Y.-W. Chang, "BioRoute: A network-flow-based routing algorithm for the synthesis of digital microfluidic biochips," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. vol. 27, no. 11, pp. 1928-1941, Nov. 2008.
    • (2008) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst , vol.27 , Issue.11 , pp. 1928-1941
    • Yuh, P.-H.1    Yang, C.-L.2    Chang, Y.-W.3
  • 142
    • 0037029761 scopus 로고    scopus 로고
    • Integrated hierarchical design of microelectrofluidic systems using SystemC
    • May
    • T. Zhang, K. Chakrabarty, and R. Fair, "Integrated hierarchical design of microelectrofluidic systems using SystemC," Microelectron. J., vol. 33, no. 5/6, pp. 459-470, May 2002.
    • (2002) Microelectron. J , vol.33 , Issue.5-6 , pp. 459-470
    • Zhang, T.1    Chakrabarty, K.2    Fair, R.3
  • 143
    • 0036683870 scopus 로고    scopus 로고
    • Design of reconfigurable composite microsystems based on hardware/software codesign principles
    • Aug
    • T. Zhang, K. Chakrabarty, and R. Fair, "Design of reconfigurable composite microsystems based on hardware/software codesign principles," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 31, no. 8, pp. 987-995, Aug. 2002.
    • (2002) IEEE Trans. Comput.-Aided Design Integr. Circuits Syst , vol.31 , Issue.8 , pp. 987-995
    • Zhang, T.1    Chakrabarty, K.2    Fair, R.3
  • 144
    • 50249153041 scopus 로고    scopus 로고
    • 3D-STAF: Scalable temperature and leakage aware floorplanning for 3-dimensional integrated circuits
    • P. Zhou, Y. Ma, Z. Li, R. Dick, L. Shang, H. Zhou, X. Hong, and Q. Zhou, "3D-STAF: Scalable temperature and leakage aware floorplanning for 3-dimensional integrated circuits," in Proc. ICCAD, 2007, pp. 590-597.
    • (2007) Proc. ICCAD , pp. 590-597
    • Zhou, P.1    Ma, Y.2    Li, Z.3    Dick, R.4    Shang, L.5    Zhou, H.6    Hong, X.7    Zhou, Q.8


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.