-
2
-
-
43049171232
-
-
Philips nexperia-highly integrated programmable system-on-chip (mpsoc), 2004 〈http://www.semiconductors.philips.com/products/nexperia〉.
-
Philips nexperia-highly integrated programmable system-on-chip (mpsoc), 2004 〈http://www.semiconductors.philips.com/products/nexperia〉.
-
-
-
-
3
-
-
43049161725
-
-
Texas instruments, TI's omap platform, 2004 〈http://focus.ti.com/omap/docs/〉.
-
Texas instruments, TI's omap platform, 2004 〈http://focus.ti.com/omap/docs/〉.
-
-
-
-
4
-
-
43049160267
-
-
ST Microelectronics, ST nomadik multimedia processor, 2004 〈http://www.st.com/stonline/prodpres/dedicate/proc/proc.htm〉.
-
ST Microelectronics, ST nomadik multimedia processor, 2004 〈http://www.st.com/stonline/prodpres/dedicate/proc/proc.htm〉.
-
-
-
-
5
-
-
0346750535
-
Leakage current: Moore's law meets static power
-
Kim N.S., Austin T., Blaauw D., Mudge T., Flautner K., Hu J., Irwin M., Kandemir M., and Vijaykrishnan N. Leakage current: Moore's law meets static power. Computer 36 12 (2003) 65-77
-
(2003)
Computer
, vol.36
, Issue.12
, pp. 65-77
-
-
Kim, N.S.1
Austin, T.2
Blaauw, D.3
Mudge, T.4
Flautner, K.5
Hu, J.6
Irwin, M.7
Kandemir, M.8
Vijaykrishnan, N.9
-
6
-
-
0036149420
-
Networks on chip: a new SoC paradigm
-
Benini L., and De Micheli G. Networks on chip: a new SoC paradigm. IEEE Comput. 35 1 (2002) 70-78
-
(2002)
IEEE Comput.
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
7
-
-
0034848112
-
Route packets not wires: on-chip interconnection networks
-
ACM, IEEE Press, New York
-
Dally W.J., and Towles B. Route packets not wires: on-chip interconnection networks. Proceedings of Design Automation Conference (DAC'01) (June 2001), ACM, IEEE Press, New York 648-689
-
(2001)
Proceedings of Design Automation Conference (DAC'01)
, pp. 648-689
-
-
Dally, W.J.1
Towles, B.2
-
8
-
-
34047170421
-
Contrasting a NoC and a traditional interconnect fabric with layout awareness
-
Munich, Germany, ACM, IEEE Press, New York
-
Angiolini F., Meloni P., Carta S., Benini L., and Raffo L. Contrasting a NoC and a traditional interconnect fabric with layout awareness. Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'06). Munich, Germany (2006), ACM, IEEE Press, New York 124-129
-
(2006)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'06)
, pp. 124-129
-
-
Angiolini, F.1
Meloni, P.2
Carta, S.3
Benini, L.4
Raffo, L.5
-
9
-
-
0042111484
-
-
Jantsch A., and Tenhunen H. (Eds), Kluwer Academic Publishers, Hingham, MA, USA
-
In: Jantsch A., and Tenhunen H. (Eds). Networks on Chip (2003), Kluwer Academic Publishers, Hingham, MA, USA
-
(2003)
Networks on Chip
-
-
-
10
-
-
35348925935
-
-
Benini L., and De Micheli G. (Eds), Morgan Kaufmann Publishers, San Francisco, CA, USA
-
In: Benini L., and De Micheli G. (Eds). Networks on Chips: Technology and Tools (2006), Morgan Kaufmann Publishers, San Francisco, CA, USA
-
(2006)
Networks on Chips: Technology and Tools
-
-
-
11
-
-
84893760422
-
Exploiting the routing flexibility for energy/performance aware mapping of regular noc architectures
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Hu J., and Marculescu R. Exploiting the routing flexibility for energy/performance aware mapping of regular noc architectures. Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'03). Washington, DC, USA (2003), IEEE Computer Society, Silver Spring, MD 10688
-
(2003)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'03)
, pp. 10688
-
-
Hu, J.1
Marculescu, R.2
-
12
-
-
28244471298
-
Power-conscious design of the cell processor's synergistic processor element
-
Takahashi O., Cottier S.R., Dhong S.H., Flachs B.K., and Silberman J. Power-conscious design of the cell processor's synergistic processor element. IEEE Micro 25 5 (2005) 10-18
-
(2005)
IEEE Micro
, vol.25
, Issue.5
, pp. 10-18
-
-
Takahashi, O.1
Cottier, S.R.2
Dhong, S.H.3
Flachs, B.K.4
Silberman, J.5
-
13
-
-
43049172823
-
-
Nexperia media processing, 2003 〈http://www.trimedia.com/products/briefs/soc_arch.html〉.
-
Nexperia media processing, 2003 〈http://www.trimedia.com/products/briefs/soc_arch.html〉.
-
-
-
-
14
-
-
43049169485
-
-
Arteris, The on Chip Company, Arteris: designing efficient and scalable SoC interconnects, 2005 〈http://www.arteris.com〉.
-
Arteris, The on Chip Company, Arteris: designing efficient and scalable SoC interconnects, 2005 〈http://www.arteris.com〉.
-
-
-
-
15
-
-
43049158648
-
-
Silistix, Silistix: chainworks, 2006 〈http://www.silistix.com〉.
-
Silistix, Silistix: chainworks, 2006 〈http://www.silistix.com〉.
-
-
-
-
16
-
-
43049182605
-
-
iNoCs 2007 〈http://www.inocs.com〉.
-
iNoCs 2007 〈http://www.inocs.com〉.
-
-
-
-
17
-
-
43049164930
-
-
ARM Inc. Advanced Microcontroller Bus Architecture (AMBA), AMBA specification, May 1999 〈www.arm.com/products/solutions/AMBAHomePage.html〉.
-
ARM Inc. Advanced Microcontroller Bus Architecture (AMBA), AMBA specification, May 1999 〈www.arm.com/products/solutions/AMBAHomePage.html〉.
-
-
-
-
18
-
-
43049158649
-
-
Amba 3 ahi overview, 2005 〈http://www.arm.com/products/solutions/AMBA3AXI.html〉.
-
Amba 3 ahi overview, 2005 〈http://www.arm.com/products/solutions/AMBA3AXI.html〉.
-
-
-
-
19
-
-
43049153956
-
-
ST Microelectronics, The STBus interconnect, 2001 〈http://www.st.com〉.
-
ST Microelectronics, The STBus interconnect, 2001 〈http://www.st.com〉.
-
-
-
-
20
-
-
0034841440
-
Micronetwork-based integration for socs. 673
-
New York, NY, USA, ACM, New York
-
Wingard D. Micronetwork-based integration for socs. 673. Proceedings of the 38th Design Automation Conference (DAC'01). New York, NY, USA (2001), ACM, New York 677
-
(2001)
Proceedings of the 38th Design Automation Conference (DAC'01)
, pp. 677
-
-
Wingard, D.1
-
21
-
-
27344456043
-
Aethereal network on chip: concepts, architectures, and implementations
-
Goossens K., Dielissen J., and Radulescu A. Aethereal network on chip: concepts, architectures, and implementations. IEEE Design Test Comput. 22 5 (2005) 414-421
-
(2005)
IEEE Design Test Comput.
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissen, J.2
Radulescu, A.3
-
22
-
-
34548254878
-
On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus, and network-on-chip approaches
-
Lee H.G., Chang N., Ogras U.Y., and Marculescu R. On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans. Des. Autom. Electron. Syst. 12 3 (2007) 23
-
(2007)
ACM Trans. Des. Autom. Electron. Syst.
, vol.12
, Issue.3
, pp. 23
-
-
Lee, H.G.1
Chang, N.2
Ogras, U.Y.3
Marculescu, R.4
-
23
-
-
33847724870
-
Fault tolerance overhead in network-on-chip flow control schemes
-
New York, NY, USA, ACM, New York
-
Pullini A., Angiolini F., Bertozzi D., and Benini L. Fault tolerance overhead in network-on-chip flow control schemes. Proceedings of the 18th Annual Symposium on Integrated Circuits and System Design (SBCCI'05). New York, NY, USA (2005), ACM, New York 224-229
-
(2005)
Proceedings of the 18th Annual Symposium on Integrated Circuits and System Design (SBCCI'05)
, pp. 224-229
-
-
Pullini, A.1
Angiolini, F.2
Bertozzi, D.3
Benini, L.4
-
24
-
-
34547217982
-
Bounded arbitration algorithm for qos-supported on-chip communication
-
New York, USA, ACM, IEEE Press, New York
-
Faruque M.A.A., Weiss G., and Henkel J. Bounded arbitration algorithm for qos-supported on-chip communication. Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS'06). New York, USA (2006), ACM, IEEE Press, New York 76-81
-
(2006)
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS'06)
, pp. 76-81
-
-
Faruque, M.A.A.1
Weiss, G.2
Henkel, J.3
-
25
-
-
1242309790
-
-
E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, QNoC: QoS architecture and design process for network on chip, J. Syst. Archit. (2004) 117.
-
E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, QNoC: QoS architecture and design process for network on chip, J. Syst. Archit. (2004) 117.
-
-
-
-
26
-
-
84947211640
-
Socbus: switched network on chip for hard real time embedded systems
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Wiklund D., and Liu D. Socbus: switched network on chip for hard real time embedded systems. Proceedings of the 17th International Symposium on Parallel and Distributed Processing (IPDPS'03). Washington, DC, USA (2003), IEEE Computer Society, Silver Spring, MD 78.1
-
(2003)
Proceedings of the 17th International Symposium on Parallel and Distributed Processing (IPDPS'03)
-
-
Wiklund, D.1
Liu, D.2
-
27
-
-
28444450874
-
A scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Bjerregaard T., and Sparso J. A scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip. Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'05). Washington, DC, USA (2005), IEEE Computer Society, Silver Spring, MD 34-43
-
(2005)
Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'05)
, pp. 34-43
-
-
Bjerregaard, T.1
Sparso, J.2
-
28
-
-
34548316907
-
Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture
-
San Jose, CA, USA, EDA Consortium
-
Sheibanyrad A., Panades I.M., and Greiner A. Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture. Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'07). San Jose, CA, USA (2007), EDA Consortium 1090-1095
-
(2007)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'07)
, pp. 1090-1095
-
-
Sheibanyrad, A.1
Panades, I.M.2
Greiner, A.3
-
29
-
-
84861452829
-
Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees
-
New York, NY, USA, ACM Press, New York
-
Murali S., Benini L., and De Micheli G. Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees. Proceedings of the 2005 Conference on Asia South Pacific Design Automation (ASP-DAC '05). New York, NY, USA (2005), ACM Press, New York 27-32
-
(2005)
Proceedings of the 2005 Conference on Asia South Pacific Design Automation (ASP-DAC '05)
, pp. 27-32
-
-
Murali, S.1
Benini, L.2
De Micheli, G.3
-
30
-
-
36849004429
-
Bringing nocs to 65 nm
-
Pullini A., Angiolini F., Murali S., Atienza D., De Micheli G., and Benini L. Bringing nocs to 65 nm. IEEE Micro 27 5 (2007) 75-85
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 75-85
-
-
Pullini, A.1
Angiolini, F.2
Murali, S.3
Atienza, D.4
De Micheli, G.5
Benini, L.6
-
31
-
-
33847762802
-
A layout-aware analysis of networks-on-chip and traditional interconnects for mpsocs
-
Angiolini F., Meloni P., Carta S., Raffo L., and Benini L. A layout-aware analysis of networks-on-chip and traditional interconnects for mpsocs. IEEE Trans. Comput.-Aided Design Integrated Circuits Syst. 26 3 (2007) 421-434
-
(2007)
IEEE Trans. Comput.-Aided Design Integrated Circuits Syst.
, vol.26
, Issue.3
, pp. 421-434
-
-
Angiolini, F.1
Meloni, P.2
Carta, S.3
Raffo, L.4
Benini, L.5
-
32
-
-
3042660381
-
An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Radulescu A., Dielissen J., Goossens K., Rijpkema E., and Wielage P. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration. Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'04). Washington, DC, USA (2004), IEEE Computer Society, Silver Spring, MD 20878
-
(2004)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'04)
, pp. 20878
-
-
Radulescu, A.1
Dielissen, J.2
Goossens, K.3
Rijpkema, E.4
Wielage, P.5
-
33
-
-
84893818178
-
Micro-network for soc: implementation of a 32-port spin network
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Andriahantenaina A., and Greiner A. Micro-network for soc: implementation of a 32-port spin network. Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'03). Washington, DC, USA (2003), IEEE Computer Society, Silver Spring, MD 11128
-
(2003)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'03)
, pp. 11128
-
-
Andriahantenaina, A.1
Greiner, A.2
-
34
-
-
2442689292
-
51 MW 1.6 GHz on-chip network for low-power heterogeneous SoC platform
-
IEEE Computer Society, Silver Spring, MD
-
Lee K., Lee S.J., Kim S.-E., Choi H.-M., Kim D., Kim S., Lee M.-W., and Yoo H.-J. 51 MW 1.6 GHz on-chip network for low-power heterogeneous SoC platform. Digest of Technical Papers of the IEEE International Solid-State Circuits Conference (ISSC'04) (2004), IEEE Computer Society, Silver Spring, MD 152-158
-
(2004)
Digest of Technical Papers of the IEEE International Solid-State Circuits Conference (ISSC'04)
, pp. 152-158
-
-
Lee, K.1
Lee, S.J.2
Kim, S.-E.3
Choi, H.-M.4
Kim, D.5
Kim, S.6
Lee, M.-W.7
Yoo, H.-J.8
-
36
-
-
2642551577
-
Networks on chip as hardware components of an os for reconfigurable systems
-
IEEE Press, New York
-
Marescaux T., Mignolet J.-I., Bartic A., Moffat W., Verkest D., Vernalde S., and Lauwereins R. Networks on chip as hardware components of an os for reconfigurable systems. Proceedings of Field-Programmable Logic and Applications Conference (FPL'03) (September 2003), IEEE Press, New York
-
(2003)
Proceedings of Field-Programmable Logic and Applications Conference (FPL'03)
-
-
Marescaux, T.1
Mignolet, J.-I.2
Bartic, A.3
Moffat, W.4
Verkest, D.5
Vernalde, S.6
Lauwereins, R.7
-
38
-
-
84955516546
-
A methodology for designing efficient on-chip interconnects on well-behaved communication patterns
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Ho W.H., and Pinkston T.M. A methodology for designing efficient on-chip interconnects on well-behaved communication patterns. Proceedings of the 9th International Symposium on High-Performance Computer Architecture (HPCA '03). Washington, DC, USA (2003), IEEE Computer Society, Silver Spring, MD 377
-
(2003)
Proceedings of the 9th International Symposium on High-Performance Computer Architecture (HPCA '03)
, pp. 377
-
-
Ho, W.H.1
Pinkston, T.M.2
-
39
-
-
27644490224
-
A unified approach to constrained mapping and routing on network-on-chip architectures
-
New York, USA, ACM Press, New York
-
Hansson A., Goossens K., and Radulescu A. A unified approach to constrained mapping and routing on network-on-chip architectures. Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS '05). New York, USA (2005), ACM Press, New York 75-80
-
(2005)
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS '05)
, pp. 75-80
-
-
Hansson, A.1
Goossens, K.2
Radulescu, A.3
-
40
-
-
33751426664
-
An automated technique for topology and route generation of application specific on-chip interconnection networks
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Srinivasan K., Chatha K.S., and Konjevod G. An automated technique for topology and route generation of application specific on-chip interconnection networks. Proceedings of the 2005 IEEE/ACM International Conference on Computer-aided Design (ICCAD '05). Washington, DC, USA (2005), IEEE Computer Society, Silver Spring, MD 231-237
-
(2005)
Proceedings of the 2005 IEEE/ACM International Conference on Computer-aided Design (ICCAD '05)
, pp. 231-237
-
-
Srinivasan, K.1
Chatha, K.S.2
Konjevod, G.3
-
41
-
-
19544384566
-
Topology optimization for application-specific networks-on-chip
-
New York, USA, ACM Press, New York
-
Ahonen T., Siguenza-Tortosa D.A., Bin H., and Nurmi J. Topology optimization for application-specific networks-on-chip. Proceedings of the 2004 International Workshop on System Level Interconnect Prediction (SLIP '04). New York, USA (2004), ACM Press, New York 53-60
-
(2004)
Proceedings of the 2004 International Workshop on System Level Interconnect Prediction (SLIP '04)
, pp. 53-60
-
-
Ahonen, T.1
Siguenza-Tortosa, D.A.2
Bin, H.3
Nurmi, J.4
-
42
-
-
84948696213
-
A network on chip architecture and design methodology
-
IEEE Computer Society, Silver Spring, MD
-
Kolson S., Jantsch A., Soininen J.-P., Forsell M., Millberg M., Oberg J., Tiensyrja K., and Hemani A. A network on chip architecture and design methodology. Proceedings of IEEE Annual Symposium on VLSI (ISVLSI'02) (April 2002), IEEE Computer Society, Silver Spring, MD 105-112
-
(2002)
Proceedings of IEEE Annual Symposium on VLSI (ISVLSI'02)
, pp. 105-112
-
-
Kolson, S.1
Jantsch, A.2
Soininen, J.-P.3
Forsell, M.4
Millberg, M.5
Oberg, J.6
Tiensyrja, K.7
Hemani, A.8
-
43
-
-
14844365666
-
Noc synthesis flow for customized domain specific multiprocessor systems-on-chip
-
Bertozzi D., Jalabert A., Murali S., Tamhankar R., Stergiou S., Benini L., and De Micheli G. Noc synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. 16 2 (2005) 113-129
-
(2005)
IEEE Trans. Parallel Distrib. Syst.
, vol.16
, Issue.2
, pp. 113-129
-
-
Bertozzi, D.1
Jalabert, A.2
Murali, S.3
Tamhankar, R.4
Stergiou, S.5
Benini, L.6
De Micheli, G.7
-
44
-
-
43049175763
-
Application-specific topology design customization for stnoc
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Palermo G., Silvano C., Mariani G., Locatelli R., and Coppola M. Application-specific topology design customization for stnoc. Proceedings of the 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD'0707). Washington, DC, USA (2007), IEEE Computer Society, Silver Spring, MD 547-550
-
(2007)
Proceedings of the 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD'0707)
, pp. 547-550
-
-
Palermo, G.1
Silvano, C.2
Mariani, G.3
Locatelli, R.4
Coppola, M.5
-
46
-
-
43049166498
-
-
OCP International Partnership (OCP-IP), Open core protocol standard, 2003 〈http://www.ocpip.org/home〉.
-
OCP International Partnership (OCP-IP), Open core protocol standard, 2003 〈http://www.ocpip.org/home〉.
-
-
-
-
47
-
-
0034226899
-
The odd-even turn model for adaptive routing
-
Chiu G.-M. The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11 7 (2000) 729-738
-
(2000)
IEEE Trans. Parallel Distrib. Syst.
, vol.11
, Issue.7
, pp. 729-738
-
-
Chiu, G.-M.1
-
48
-
-
50149120992
-
Area and power modeling methodologies for networks-on-chip
-
IEEE Press, New York
-
Meloni P., Carta S., Argiolas R., Raffo L., and Angiolini F. Area and power modeling methodologies for networks-on-chip. Proceedings of 1st International Conference on Nano-Networks and Workshops, 2006 (NanoNet'06) (September 2006), IEEE Press, New York
-
(2006)
Proceedings of 1st International Conference on Nano-Networks and Workshops, 2006 (NanoNet'06)
-
-
Meloni, P.1
Carta, S.2
Argiolas, R.3
Raffo, L.4
Angiolini, F.5
-
49
-
-
43049167894
-
-
Synopsys, PrimeTime 〈http://www.synopsys.com〉.
-
Synopsys, PrimeTime 〈http://www.synopsys.com〉.
-
-
-
-
50
-
-
3042664357
-
×pipescompiler: a tool for instantiating application specific networks on chip
-
IEEE, New York
-
Jalabert A., Murali S., Benini L., and De Micheli G. ×pipescompiler: a tool for instantiating application specific networks on chip. Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'04) vol. 4 (February 2004), IEEE, New York 1-6
-
(2004)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'04)
, vol.4
, pp. 1-6
-
-
Jalabert, A.1
Murali, S.2
Benini, L.3
De Micheli, G.4
-
51
-
-
43049178965
-
-
Synopsys, Design compiler 〈http://www.synopsys.com〉.
-
Synopsys, Design compiler 〈http://www.synopsys.com〉.
-
-
-
-
52
-
-
0742321357
-
Fixed-outline floorplanning: enabling hierarchical design
-
Saurabh Adya I.M. Fixed-outline floorplanning: enabling hierarchical design. IEEE Trans. VLSI 11 6 (2003) 1120-1135
-
(2003)
IEEE Trans. VLSI
, vol.11
, Issue.6
, pp. 1120-1135
-
-
Saurabh Adya, I.M.1
-
53
-
-
22344451866
-
Mparm: exploring the multi-processor SoC design space with SystemC
-
Benini L., Bertozzi D., Bogliolo A., Menichelli F., and Olivieri M. Mparm: exploring the multi-processor SoC design space with SystemC. J. VLSI Signal Process. 41 2 (2005) 169-182
-
(2005)
J. VLSI Signal Process.
, vol.41
, Issue.2
, pp. 169-182
-
-
Benini, L.1
Bertozzi, D.2
Bogliolo, A.3
Menichelli, F.4
Olivieri, M.5
-
54
-
-
43049174165
-
-
Synopsys, Astro 〈http://www.synopsys.com〉.
-
Synopsys, Astro 〈http://www.synopsys.com〉.
-
-
-
-
55
-
-
43049158646
-
-
S.S.I. Association, The international technology roadmap for semiconductors, Technical Report, 2002 〈http://public.itrs.net/〉.
-
S.S.I. Association, The international technology roadmap for semiconductors, Technical Report, 2002 〈http://public.itrs.net/〉.
-
-
-
-
56
-
-
43049163396
-
-
Synopsys, Physical compiler 〈http://www.synopsys.com〉.
-
Synopsys, Physical compiler 〈http://www.synopsys.com〉.
-
-
-
-
57
-
-
4444335188
-
Sunmap: a tool for automatic topology selection and generation for nocs
-
New York, USA, ACM Press, New York
-
Murali S., and De Micheli G. Sunmap: a tool for automatic topology selection and generation for nocs. Proceedings of the 41st Design Automation Conference (DAC'04). New York, USA (2004), ACM Press, New York 914-919
-
(2004)
Proceedings of the 41st Design Automation Conference (DAC'04)
, pp. 914-919
-
-
Murali, S.1
De Micheli, G.2
-
58
-
-
35348908288
-
A novel dimensionally-decomposed router for on-chip communication in 3d architectures
-
New York, USA, ACM Press, New York
-
Kim J., Nicopoulos C., Park D., Das R., Xie Y., Narayanan V., Yousif M.S., and Das C.R. A novel dimensionally-decomposed router for on-chip communication in 3d architectures. Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA'07). New York, USA (2007), ACM Press, New York 138-149
-
(2007)
Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA'07)
, pp. 138-149
-
-
Kim, J.1
Nicopoulos, C.2
Park, D.3
Das, R.4
Xie, Y.5
Narayanan, V.6
Yousif, M.S.7
Das, C.R.8
-
59
-
-
33845914023
-
Design and management of 3d chip multiprocessors using network-in-memory
-
Washington, DC, USA, IEEE Computer Society, Silver Spring, MD
-
Li F., Nicopoulos C., Richardson T., Xie Y., Narayanan V., and Kandemir M. Design and management of 3d chip multiprocessors using network-in-memory. Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA'06). Washington, DC, USA (2006), IEEE Computer Society, Silver Spring, MD 130-141
-
(2006)
Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA'06)
, pp. 130-141
-
-
Li, F.1
Nicopoulos, C.2
Richardson, T.3
Xie, Y.4
Narayanan, V.5
Kandemir, M.6
-
60
-
-
33846466637
-
Picoserver: using 3d stacking technology to enable a compact energy efficient chip multiprocessor
-
Kgil T., D'Souza S., Saidi A., Binkert N., Dreslinski R., Mudge T., Reinhardt S., and Flautner K. Picoserver: using 3d stacking technology to enable a compact energy efficient chip multiprocessor. ACM SIGPLAN Not. 41 11 (2006) 117-128
-
(2006)
ACM SIGPLAN Not.
, vol.41
, Issue.11
, pp. 117-128
-
-
Kgil, T.1
D'Souza, S.2
Saidi, A.3
Binkert, N.4
Dreslinski, R.5
Mudge, T.6
Reinhardt, S.7
Flautner, K.8
-
61
-
-
33646903287
-
-
G.M. Link, N. Vijaykrishnan, Hotspot prevention through runtime reconfiguration in network-on-chip, in: Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'05), vol. 01, Los Alamitos, CA, USA, IEEE Computer Society, Silver Spring, MD, 2005, pp. 648-649.
-
G.M. Link, N. Vijaykrishnan, Hotspot prevention through runtime reconfiguration in network-on-chip, in: Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE'05), vol. 01, Los Alamitos, CA, USA, IEEE Computer Society, Silver Spring, MD, 2005, pp. 648-649.
-
-
-
|