-
2
-
-
0026853681
-
Low-power CMOS digital design
-
Apr
-
A. Chandrakasan, S. Sheng, and R. Brodersen, "Low-power CMOS Digital Design," IEEE J. Solid-State Circuits, vol. 27, no. 4, pp. 473-484, Apr. 1992.
-
(1992)
IEEE J. Solid-State Circuits
, vol.27
, Issue.4
, pp. 473-484
-
-
Chandrakasan, A.1
Sheng, S.2
Brodersen, R.3
-
4
-
-
35348909664
-
The high-k solution
-
DOI 10.1109/MSPEC.2007.4337663
-
M. Bohr, R. Chau, T. Ghani, and K. Mistry, "The High-k Solution," IEEE Spectrum, vol. 44, no. 10, pp. 29-35, Oct. 2007. (Pubitemid 47570274)
-
(2007)
IEEE Spectrum
, vol.44
, Issue.10
, pp. 29-35
-
-
Bohr, M.T.1
Chau, R.S.2
Ghani, T.3
Mistry, K.4
-
5
-
-
0041537580
-
Transistor elements for 30 nm physical gate lengths and beyond
-
M. Group, and I. Corporation
-
T.J. Semiconductor, B. Doyle, M. Group, and I. Corporation, "Transistor Elements for 30 nm Physical Gate Lengths and Beyond," Int'l Technology J., vol. 6, pp. 42-54, 2002.
-
(2002)
Int'l Technology J.
, vol.6
, pp. 42-54
-
-
Semiconductor, T.J.1
Doyle, B.2
-
6
-
-
0034825598
-
Integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance i-caches
-
S. Yang, M. Powell, B. Falsafi, K. Roy, and T. Vijaykumar, "An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-caches," Proc. Seventh Int'l Symp. High-Performance Computer Architecture (HPCA '01), pp. 147- 157, 2001. (Pubitemid 32873585)
-
(2001)
IEEE High-Performance Computer Architecture Symposium Proceedings
, pp. 147-157
-
-
Yang Se-Hyun1
Powell Michael, D.2
Falsafi Babak3
Roy Kaushik4
Vijaykumar, T.N.5
-
7
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
S. Kaxiras, Z. Hu, and M. Martonosi, "Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power," Proc. Int'l Symp. Computer Architecture (ISCA '01), pp. 240-251, 2001. (Pubitemid 32825409)
-
(2001)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
8
-
-
0036949087
-
Low-leakage asymmetric-cell SRAM
-
N. Azizi, A. Moshovos, and F.N. Najm, "Low-Leakage Asymmetric-Cell SRAM," Proc. Int'l Symp. Low Power Electronics and Design (ISLPED '02), pp. 48-51, 2002.
-
(2002)
Proc. Int'l Symp. Low Power Electronics and Design (ISLPED '02)
, pp. 48-51
-
-
Azizi, N.1
Moshovos, A.2
Najm, F.N.3
-
10
-
-
85029600625
-
Scheduling for reduced CPU energy
-
M. Weiser, B. Welch, A. Demers, and S. Shenker, "Scheduling for Reduced CPU Energy," Proc. First USENIX Conf. Operating Systems Design and Implementation (OSDI '94), 1994.
-
(1994)
Proc. First USENIX Conf. Operating Systems Design and Implementation (OSDI '94)
-
-
Weiser, M.1
Welch, B.2
Demers, A.3
Shenker, S.4
-
11
-
-
0029488569
-
A scheduling model for reduced CPU energy
-
F. Yao, A. Demers, and S. Shenker, "A Scheduling Model for Reduced CPU Energy," Proc. 36th Ann. Symp. Foundations of Computer Science (FOCS '95), pp. 374-382, 1995.
-
(1995)
Proc. 36th Ann. Symp. Foundations of Computer Science (FOCS '95)
, pp. 374-382
-
-
Yao, F.1
Demers, A.2
Shenker, S.3
-
13
-
-
0035680483
-
Dynamic and aggressive scheduling techniques for power-aware real-time systems
-
H. Aydi, P. Meji'a-Alvarez, D. Mossé, and R. Melhem, "Dynamic and Aggressive Scheduling Techniques for Power-Aware Real- Time Systems," Proc. 22nd IEEE Real-Time Systems Symp. (RTSS '01), pp. 95-105, 2001 (Pubitemid 34064485)
-
(2001)
Proceedings - Real-Time Systems Symposium
, pp. 95-105
-
-
Aydin, H.1
Melhem, R.2
Mosse, D.3
Mejia-Alvarez, P.4
-
14
-
-
0043237598
-
Scheduling with dynamic voltage/speed adjustment using slack reclamation in multiprocessor real-time systems
-
July
-
D. Zhu, R. Melhem, and B. Childers, "Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems," IEEE Trans. Parallel and Distributed Systems, vol. 14, no. 7, pp. 686-700, July 2003.
-
(2003)
IEEE Trans. Parallel and Distributed Systems
, vol.14
, Issue.7
, pp. 686-700
-
-
Zhu, D.1
Melhem, R.2
Childers, B.3
-
15
-
-
36949001469
-
An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
-
DOI 10.1109/MICRO.2006.8, 4041859, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for A Given Power Budget," Proc. 39th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO), pp. 347-358. 2006. (Pubitemid 351337009)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 347-358
-
-
Isci, C.1
Buyuktosunoglu, A.2
Cher, C.-Y.3
Bose, P.4
Martonosi, M.5
-
16
-
-
36949025660
-
Dynamic voltage frequency scaling for multi-tasking systems using online learning
-
DOI 10.1145/1283780.1283825, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
G. Dhiman and T.S. Rosing, "Dynamic Voltage Frequency Scaling for Multi-Tasking Systems Using Online Learning," Proc. Int'l Symp. Low Power Electronics and Design (ISLPED), pp. 207-212. 2007. (Pubitemid 350239927)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 207-212
-
-
Dhiman, G.1
Rosing, T.S.2
-
17
-
-
77954577848
-
A comprehensive scheduler for asymmetric multicore processors
-
J.C. Saez, M. Prieto, A. Fedorova, and S. Blagodurov, "A Comprehensive Scheduler for Asymmetric Multicore Processors," Proc. Fifth European Conf. Computer Systems (EuroSys '10), 2010.
-
(2010)
Proc. Fifth European Conf. Computer Systems (EuroSys '10)
-
-
Saez, J.C.1
Prieto, M.2
Fedorova, A.3
Blagodurov, S.4
-
18
-
-
77952283142
-
HASS: A scheduler for heterogeneous multicore systems
-
D. Shelepov, J.C. Saez, S. Jeffery, A. Fedorova, N. Perez, Z.F. Huang, S. Blagodurov, and V. Kumar, "HASS: A Scheduler for Heterogeneous Multicore Systems," ACM SIGOPS Operating Systems Rev., vol. 43, no. 2, pp. 66-75, 2009.
-
(2009)
ACM SIGOPS Operating Systems Rev.
, vol.43
, Issue.2
, pp. 66-75
-
-
Shelepov, D.1
Saez, J.C.2
Jeffery, S.3
Fedorova, A.4
Perez, N.5
Huang, Z.F.6
Blagodurov, S.7
Kumar, V.8
-
19
-
-
34248638757
-
Analyzing the energy-time trade-off in high-performance computing applications
-
DOI 10.1109/TPDS.2007.1026
-
V.W. Freeh, D.K. Lowenthal, F. Pan, N. Kappiah, R. Springer, and B.L. Rountree, "Analyzing the Energy-Time Trade-Off in High- Performance Computing Applications," IEEE Trans. Parallel and Distributed Systems, vol. 18, no. 6, pp. 835-848, June 2007. (Pubitemid 46767744)
-
(2007)
IEEE Transactions on Parallel and Distributed Systems
, vol.18
, Issue.6
, pp. 835-848
-
-
Freeh, V.W.1
Lowenthal, D.K.2
Pan, F.3
Kappiah, N.4
Springer, R.5
Rountree, B.L.6
Femal, M.E.7
-
20
-
-
65349085672
-
System-level power management using online learning
-
May
-
G. Dhiman and T.S. Rosing, "System-Level Power Management Using Online Learning," IEEE Trans. Computer-Aided Design Integrated Ciruits and Systems, vol. 28, no. 5, pp. 676-689, May 2009.
-
(2009)
IEEE Trans. Computer-Aided Design Integrated Ciruits and Systems
, vol.28
, Issue.5
, pp. 676-689
-
-
Dhiman, G.1
Rosing, T.S.2
-
21
-
-
79959721649
-
Energy conscious scheduling for distributed computing systems under different operating conditions
-
Aug
-
Y.C. Lee and A. Zomaya, "Energy Conscious Scheduling for Distributed Computing Systems under Different Operating Conditions," IEEE Trans. Parallel and Distributed Systems, vol. 22, no. 8, pp. 1374-1381, Aug. 2011.
-
(2011)
IEEE Trans. Parallel and Distributed Systems
, vol.22
, Issue.8
, pp. 1374-1381
-
-
Lee, Y.C.1
Zomaya, A.2
-
23
-
-
36949040798
-
Analysis of dynamic voltage/frequency scaling in chip-multiprocessors
-
DOI 10.1145/1283780.1283790, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
S. Herbert and D. Marculescu, "Analysis of Dynamic Voltage/ Frequency Scaling in Chip-Multiprocessors," Proc. Int'l Symp. Low power Electronics and Design (ISLPED '07), pp. 38-43, 2007. (Pubitemid 350239900)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 38-43
-
-
Herbert, S.1
Marculescu, D.2
-
25
-
-
79960488261
-
-
Intel Labs May
-
Intel Labs, The SCC Platform Overview, http://techresearch.intel. com/spaw2/uploads/files/SCC-Platform-Overview.pdf, May 2010.
-
(2010)
The SCC Platform Overview
-
-
-
26
-
-
44849104306
-
Corollaries to amdahl's law for energy
-
Jan
-
S. Cho and R.G. Melhem, "Corollaries to Amdahl's Law for Energy," Computer Architecture Letters, vol. 7, no. 1, pp. 25-28, Jan. 2008.
-
(2008)
Computer Architecture Letters
, vol.7
, Issue.1
, pp. 25-28
-
-
Cho, S.1
Melhem, R.G.2
-
27
-
-
76749158316
-
On the interplay of parallelization, program performance, and energy consumption
-
Mar
-
S. Cho and R.G. Melhem, "On the Interplay of Parallelization, Program Performance, and Energy Consumption," IEEE Trans. Parallel and Distributed Systems, vol. 21, no. 3, pp. 342-353, Mar. 2010.
-
(2010)
IEEE Trans. Parallel and Distributed Systems
, vol.21
, Issue.3
, pp. 342-353
-
-
Cho, S.1
Melhem, R.G.2
-
30
-
-
1542329196
-
Power-aware scheduling of conditional task graphs in real-time multiprocessor systems
-
D. Shin and J. Kim, "Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems," Proc. Int'l Symp. Low Power Electronics and Design (ISLPED), pp. 408-413, 2003.
-
(2003)
Proc. Int'l Symp. Low Power Electronics and Design (ISLPED)
, pp. 408-413
-
-
Shin, D.1
Kim, J.2
-
31
-
-
34248374123
-
Online power-performance adaptation of multithreaded programs using hardware event-based prediction
-
DOI 10.1145/1183401.1183426, Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006
-
M. Curtis-Maury, J. Dzierwa, C.D. Antonopoulos, and D.S. Nikolopoulos, "Online Power-Performance Adaptation of Multithreaded Programs Using Hardware Event-based Prediction," Proc. 20th Ann. Int'l Conf. Supercomputing (ICS '06), pp. 157-166, 2006. (Pubitemid 47168502)
-
(2006)
Proceedings of the International Conference on Supercomputing
, pp. 157-166
-
-
Curtis-Maury, M.1
Dzierwa, J.2
Antonopoulos, C.D.3
Nikolopoulos, D.S.4
-
32
-
-
3042662150
-
Exploiting processor workload heterogeneity for reducing energy consumption in chip multiprocessors
-
I. Kadayif, M. Kandemir, and I. Kolcu, "Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors," Proc. Design, Automation and Test in Europe Conf. and Exhibition (DATE '04), pp. 1158-1163, 2004
-
(2004)
Proc. Design, Automation and Test in Europe Conf. and Exhibition (DATE '04)
, pp. 1158-1163
-
-
Kadayif, I.1
Kandemir, M.2
Kolcu, I.3
-
33
-
-
77952248898
-
Addressing shared resource contention in multicore processors via scheduling
-
S. Zhuravlev, S. Blagodurov, and A. Fedorova, "Addressing Shared Resource Contention in Multicore Processors via Scheduling," Proc. 15th Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS '10), pp. 129-142, 2010.
-
(2010)
Proc. 15th Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS '10)
, pp. 129-142
-
-
Zhuravlev, S.1
Blagodurov, S.2
Fedorova, A.3
-
34
-
-
49049114570
-
Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS
-
M. Kondo, H. Sasaki, and H. Nakamura, "Improving Fairness, Throughput and Energy-Efficiency on a Chip Multiprocessor through DVFS," ACM SIGARCH Computer Architecture News, vol. 35, no. 1, pp. 31-38, 2007.
-
(2007)
ACM SIGARCH Computer Architecture News
, vol.35
, Issue.1
, pp. 31-38
-
-
Kondo, M.1
Sasaki, H.2
Nakamura, H.3
-
35
-
-
70449730915
-
Cooperative shared resource access control for low-power chip multiprocessors
-
N. Takagi, H. Sasaki, M. Kondo, and H. Nakamura, "Cooperative Shared Resource Access Control for Low-Power Chip Multiprocessors," Proc. 14th ACM/IEEE Int'l Symp. Low Power Electronics and Design (ISLPED '09), pp. 177-182, 2009.
-
(2009)
Proc. 14th ACM/ IEEE Int'l Symp. Low Power Electronics and Design (ISLPED '09)
, pp. 177-182
-
-
Takagi, N.1
Sasaki, H.2
Kondo, M.3
Nakamura, H.4
-
36
-
-
52949134455
-
Power reduction of chip multi-processors using shared resource control cooperating with DVFS
-
Oct.
-
R. Watanabe, M. Kondo, H. Nakamura, and T. Nanya, "Power Reduction of Chip Multi-Processors Using Shared Resource Control Cooperating with DVFS," Proc. 25th Int'l Conf. Computer Design (ICCD), pp. 615 -622, Oct. 2007.
-
(2007)
Proc. 25th Int'l Conf. Computer Design (ICCD)
, pp. 615-622
-
-
Watanabe, R.1
Kondo, M.2
Nakamura, H.3
Nanya, T.4
-
37
-
-
70449729942
-
Vgreen: A system for energy efficient computing in virtualized environments
-
G. Dhiman, G. Marchetti, and T. Rosing, "Vgreen: A System for Energy Efficient Computing in Virtualized Environments," Proc. ACM/IEEE Int'l Symp. Low Power Electronics and Design (ISLPED '09), pp. 243-248, 2009.
-
(2009)
Proc. ACM/ IEEE Int'l Symp. Low Power Electronics and Design (ISLPED '09)
, pp. 243-248
-
-
Dhiman, G.1
Marchetti, G.2
Rosing, T.3
-
38
-
-
47249103334
-
Using OS observations to improve performance in multicore systems
-
May
-
R. Knauerhase, P. Brett, B. Hohlt, T. Li, and S. Hahn, "Using OS Observations to Improve Performance in Multicore Systems," IEEE Micro, vol. 28, no. 3, pp. 54-66, May 2008.
-
(2008)
IEEE Micro
, vol.28
, Issue.3
, pp. 54-66
-
-
Knauerhase, R.1
Brett, P.2
Hohlt, B.3
Li, T.4
Hahn, S.5
-
39
-
-
70350754495
-
PAM: A novel performance/power aware meta-scheduler for multi-core systems
-
M. Banikazemi, D. Poff, and B. Abali, "PAM: A Novel Performance/Power Aware Meta-Scheduler for Multi-Core Systems," Proc. ACM/IEEE Conf. Supercomputing (SC '08), pp. 1-12, 2008.
-
(2008)
Proc. ACM/ IEEE Conf. Supercomputing (SC '08)
, pp. 1-12
-
-
Banikazemi, M.1
Poff, D.2
Abali, B.3
-
40
-
-
33746271150
-
Scheduling algorithms for effective thread pairing on hybrid multiprocessors
-
Proceedings - 19th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2005
-
R.L. McGregor, C.D. Antonopoulos, and D.S. Nikolopoulos, "Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors," Proc. IEEE 19th Int'l Parallel and Distributed Processing Symp. (IPDPS '05), p. 28a, 2005. (Pubitemid 41733205)
-
(2005)
Proceedings - 19th IEEE International Parallel and Distributed Processing Symposium
, pp. 28
-
-
McGregor, R.L.1
Antonopoulos, C.D.2
Nikolopoulos, D.S.3
-
41
-
-
0036038691
-
Symbiotic jobscheduling with priorities for a simultaneous multithreading processor
-
A. Snavely, D.M. Tullsen, and G. Voelker, "Symbiotic Jobscheduling with Priorities for A Simultaneous Multithreading Processor," Proc. ACM SIGMETRICS Int'l Conf. Measurement and Modeling of Computer Systems (SIGMETRICS '02), pp. 66-76, 2002. (Pubitemid 35009510)
-
(2002)
Performance Evaluation Review
, vol.30
, Issue.1
, pp. 66-76
-
-
Snavely, A.1
Tullsen, D.M.2
Voelker, G.3
-
42
-
-
77954607799
-
Resource-conscious scheduling for energy efficiency on multicore processors
-
A. Merkel, J. Stoess, and F. Bellosa, "Resource-Conscious Scheduling for Energy Efficiency on Multicore Processors," Proc. European Conf. Computer Systems (EuroSys '10), pp. 153-166, 2010.
-
(2010)
Proc. European Conf. Computer Systems (EuroSys '10)
, pp. 153-166
-
-
Merkel, A.1
Stoess, J.2
Bellosa, F.3
-
43
-
-
47849108985
-
Improving performance isolation on chip multiprocessors via an operating system scheduler
-
A. Fedorova, M. Seltzer, and M.D. Smith, "Improving Performance Isolation on Chip Multiprocessors via an Operating System Scheduler," Proc. Int'l Conf. Parallel Architectures and Compilation Techniques (PACT '07), pp. 25-38, 2007.
-
(2007)
Proc. Int'l Conf. Parallel Architectures and Compilation Techniques (PACT '07)
, pp. 25-38
-
-
Fedorova, A.1
Seltzer, M.2
Smith, M.D.3
-
44
-
-
63549085110
-
Analysis and approximation of optimal co-scheduling on chip multiprocessors
-
Y. Jiang, X. Shen, J. Chen, and R. Tripathi, "Analysis and Approximation of Optimal Co-Scheduling on Chip Multiprocessors," Proc. Seventh Int'l Conf. Parallel Architectures and Compilation Techniques (PACT '08), pp. 220-229, 2008.
-
(2008)
Proc. Seventh Int'l Conf. Parallel Architectures and Compilation Techniques (PACT '08)
, pp. 220-229
-
-
Jiang, Y.1
Shen, X.2
Chen, J.3
Tripathi, R.4
-
45
-
-
84855812515
-
A study on optimally co- scheduling jobs of different lengths on chip multiprocessors
-
K. Tian, Y. Jiang, and X. Shen, "A Study on Optimally Co- Scheduling Jobs of Different Lengths on Chip Multiprocessors," Proc. Sixth ACM Conf. Computing Frontiers (CF '09), pp. 41-50, 2009.
-
(2009)
Proc. Sixth ACM Conf. Computing Frontiers (CF '09)
, pp. 41-50
-
-
Tian, K.1
Jiang, Y.2
Shen, X.3
-
46
-
-
0242335116
-
Thermally driven reliability issues in microelectronic systems: Status-quo and challenges
-
C.J.M. Lasance, "Thermally Driven Reliability Issues in Microelectronic Systems: Status-Quo and Challenges," Microelectronics Reliability, vol. 43, no. 12, pp. 1969-1974, 2003.
-
(2003)
Microelectronics Reliability
, vol.43
, Issue.12
, pp. 1969-1974
-
-
Lasance, C.J.M.1
-
48
-
-
49549094912
-
Temperature-aware MPSoC scheduling for reducing hot spots and gradients
-
A.K. Coskun, T.S. Rosing, K.A. Whisnant, and K.C. Gross, "Temperature-Aware MPSoC Scheduling for Reducing Hot Spots and Gradients," Proc. Asia and South Pacific Design Automation Conference (ASP-DAC '08), pp. 49-54, 2008.
-
(2008)
Proc. Asia and South Pacific Design Automation Conference (ASP-DAC '08)
, pp. 49-54
-
-
Coskun, A.K.1
Rosing, T.S.2
Whisnant, K.A.3
Gross, K.C.4
-
49
-
-
49749109086
-
Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs
-
T. Chantem, R.P. Dick, and X.S. Hu, "Temperature-aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs," Proc. Design, Automation and Test in Europe (DATE '08), pp. 288-293, 2008.
-
(2008)
Proc. Design, Automation and Test in Europe (DATE '08)
, pp. 288-293
-
-
Chantem, T.1
Dick, R.P.2
Hu, X.S.3
-
50
-
-
49749129652
-
Accurate temperature estimation for efficient thermal management
-
S. Sharifi, C. Liu, and T.S. Rosing, "Accurate Temperature Estimation for Efficient Thermal Management," Proc. Ninth Int'l Symp. Quality Electronic Design (ISQED), pp. 137-142, 2008.
-
(2008)
Proc. Ninth Int'l Symp. Quality Electronic Design (ISQED)
, pp. 137-142
-
-
Sharifi, S.1
Liu, C.2
Rosing, T.S.3
-
51
-
-
36949000833
-
Thermal-aware task scheduling at the system software level
-
DOI 10.1145/1283780.1283826, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
J. Choi, C.-Y. Cher, H. Franke, H. Hamann, A. Weger, and P. Bose, "Thermal-Aware Task Scheduling at the System Software Level," Proc. ACM/IEEE Int'l Symp. Low Power Electronics and Design (ISLPED '07), pp. 213-218, 2007. (Pubitemid 350239928)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 213-218
-
-
Choi, J.1
Cher, C.-Y.2
Franke, H.3
Hamann, H.4
Weger, A.5
Bose, P.6
-
53
-
-
34250858227
-
Thermal-aware scheduling for future chip multiprocessors
-
K. Stavrou and P. Trancoso, "Thermal-Aware Scheduling for Future Chip Multiprocessors," EURASIP J. Embedded Systems, vol. 2007, no. 1, pp. 40-40, 2007.
-
(2007)
EURASIP J. Embedded Systems
, vol.2007
, Issue.1
, pp. 40-40
-
-
Stavrou, K.1
Trancoso, P.2
-
54
-
-
34548335311
-
Temperature aware task scheduling in MPSoCs
-
DOI 10.1109/DATE.2007.364540, 4212050, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
A.K. Coskun, T.S. Rosing, and K. Whisnant, "Temperature Aware Task Scheduling in MPSoCs," Proc. Design, Automation and Test in Europe (DATE '07), pp. 1659-1664, 2007. (Pubitemid 47334202)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 1659-1664
-
-
Coskun, A.K.1
Rosing, T.S.2
Whisnant, K.3
-
55
-
-
12344252114
-
Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system
-
M. Gomaa, M.D. Powell, and T.N. Vijaykumar, "Heat-and-Run: Leveraging SMT and CMP to Manage Power Density through the Operating System," Proc. Int'l Conf. Architectural Support for Programming Languages and Operating Systems (ASPLOS-XI), pp. 260-270, 2004
-
(2004)
Proc. Int'l Conf. Architectural Support for Programming Languages and Operating Systems (ASPLOS-XI)
, pp. 260-270
-
-
Gomaa, M.1
Powell, M.D.2
Vijaykumar, T.N.3
-
56
-
-
55949114476
-
Thermal management for 3d processors via task scheduling
-
X. Zhou, Y. Xu, Y. Du, Y. Zhang, and J. Yang, "Thermal Management for 3D Processors via Task Scheduling," Proc. 37th Int'l Conf. Parallel Processing (ICPP '08), pp. 115-122, 2008.
-
(2008)
Proc. 37th Int'l Conf. Parallel Processing (ICPP '08)
, pp. 115-122
-
-
Zhou, X.1
Xu, Y.2
Du, Y.3
Zhang, Y.4
Yang, J.5
-
57
-
-
40349090128
-
Die stacking (3D) microarchitecture
-
DOI 10.1109/MICRO.2006.18, 4041869, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G.H. Loh, D. McCaule, P. Morrow, D.W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb, "Die Stacking (3D) Microarchitecture," Proc. 39th IEEE/ACM Int'l Symp. Microarchitecture (MICRO-39), pp. 469-479, 2006 (Pubitemid 351337019)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 469-479
-
-
Black, B.1
Annavaram, M.2
Brekelbaum, N.3
Devale, J.4
Lei, J.5
Loh, G.H.6
McCauley, D.7
Morrow, P.8
Nelson, D.W.9
Pantuso, D.10
Reed, P.11
Rupley, J.12
Shankar, S.13
John, S.14
Webb, C.15
-
59
-
-
51549101059
-
Predictive dynamic thermal management for multicore systems
-
I. Yeo, C.C. Liu, and E.J. Kim, "Predictive Dynamic Thermal Management for Multicore Systems," Proc. 45th Ann. Design Automation Conf. (DAC '08), pp. 734-739, 2008.
-
(2008)
Proc. 45th Ann. Design Automation Conf. (DAC '08)
, pp. 734-739
-
-
Yeo, I.1
Liu, C.C.2
Kim, E.J.3
-
60
-
-
70349754155
-
Utilizing predictors for efficient thermal management in multiprocessor SoCs
-
Oct
-
A.K. Coskun, T.S. Rosing, and K.C. Gross, "Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs," IEEE Trans. Computer-Aided Design Integrated Circuits and Systems, vol. 28, no. 10, pp. 1503-1516, Oct. 2009.
-
(2009)
IEEE Trans. Computer-Aided Design Integrated Circuits and Systems
, vol.28
, Issue.10
, pp. 1503-1516
-
-
Coskun, A.K.1
Rosing, T.S.2
Gross, K.C.3
-
61
-
-
57849133498
-
Proactive temperature balancing for low cost thermal management in MPSoCs
-
A.K. Coskun, T.S. Rosing, and K.C. Gross, "Proactive Temperature Balancing for Low Cost Thermal Management in MPSoCs," Proc. IEEE/ACM Int'l Conf. Computer-Aided Design (ICCAD '08), pp. 250-257, 2008.
-
(2008)
Proc. IEEE/ACM Int'l Conf. Computer-Aided Design (ICCAD '08)
, pp. 250-257
-
-
Coskun, A.K.1
Rosing, T.S.2
Gross, K.C.3
-
62
-
-
57549093302
-
Proactive temperature management in MPSoCs
-
A.K. Coskun, T.S. Rosing, and K.C. Gross, "Proactive Temperature Management in MPSoCs," Proc. 13th Int'l Symp. Low Power Electronics and Design (ISLPED '08), pp. 165-170, 2008.
-
(2008)
Proc. 13th Int'l Symp. Low Power Electronics and Design (ISLPED '08)
, pp. 165-170
-
-
Coskun, A.K.1
Rosing, T.S.2
Gross, K.C.3
-
64
-
-
34547143358
-
HybDTM: A coordinated hardware-software approach for dynamic thermal management
-
DOI 10.1145/1146909.1147052, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
A. Kumar, L. Shang, L.-S. Peh, and N.K. Jha, "HybDTM: A Coordinated Hardware-Software Approach for Dynamic Thermal Management," Proc. 43rd Ann. Design Automation Conf. (DAC '06), pp. 548-553, 2006. (Pubitemid 47113957)
-
(2006)
Proceedings - Design Automation Conference
, pp. 548-553
-
-
Kumar, A.1
Shang, L.2
Peh, L.-S.3
Jha, N.K.4
-
65
-
-
52249084545
-
Dynamic thermal management through task scheduling
-
J. Yang, X. Zhou, M. Chrobak, Y. Zhang, and L. Jin, "Dynamic Thermal Management through Task Scheduling," Proc. IEEE Int'l Symp Performance Analysis of Systems and Software (ISPASS '08), pp. 191-201, 2008.
-
(2008)
Proc. IEEE Int'l Symp Performance Analysis of Systems and Software (ISPASS '08)
, pp. 191-201
-
-
Yang, J.1
Zhou, X.2
Chrobak, M.3
Zhang, Y.4
Jin, L.5
-
66
-
-
50849108147
-
Static and dynamic temperature-aware scheduling for multiprocessor SoCs
-
Sept
-
A.K. Coskun, T.S. Rosing, K.A. Whisnant, and K.C. Gross, "Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs," IEEE Trans. Very Large Scale Integrated Systems, vol. 16, no. 9, pp. 1127-1140, Sept. 2008.
-
(2008)
IEEE Trans. Very Large Scale Integrated Systems
, vol.16
, Issue.9
, pp. 1127-1140
-
-
Coskun, A.K.1
Rosing, T.S.2
Whisnant, K.A.3
Gross, K.C.4
-
67
-
-
51549091449
-
Temperature management in multiprocessor SoCs using online learning
-
A.K. Coskun, T.S. Rosing, and K.C. Gross, "Temperature Management in Multiprocessor SoCs Using Online Learning," Proc. 45th ACM/IEEE Ann. Design Automation Conf. (DAC '08), pp. 890-893, 2008.
-
(2008)
Proc. 45th ACM/ IEEE Ann. Design Automation Conf. (DAC '08)
, pp. 890-893
-
-
Coskun, A.K.1
Rosing, T.S.2
Gross, K.C.3
-
68
-
-
33845904113
-
Techniques for multicore thermal management: Classification and new exploration
-
DOI 10.1109/ISCA.2006.39, 1635942, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
-
J. Donald and M. Martonosi, "Techniques for Multicore Thermal Management: Classification and New Exploration," Proc. 33rd Ann. Int'l Symp. Computer Architecture (ISCA '06), pp. 78-88, 2006. (Pubitemid 46016606)
-
(2006)
Proceedings - International Symposium on Computer Architecture
, vol.2006
, pp. 78-88
-
-
Donald, J.1
Martonosi, M.2
-
69
-
-
84944403811
-
Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
-
R. Kumar et al., "Single-ISA Heterogeneous Multi-Core Architectures: the Potential for Processor Power Reduction," Proc. 36th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-36), 2003.
-
(2003)
Proc. 36th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-36)
-
-
Kumar, R.1
-
70
-
-
52949144800
-
The impact of systematic process variations on symmetrical performance in chip multiprocessors
-
E. Humenay, D. Tarjan, and K. Skadron, "The Impact of Systematic Process Variations on Symmetrical Performance in Chip Multiprocessors," Proc. Conf. Design, Automation and Test in Europe (DATE '07), 2007.
-
(2007)
Proc. Conf. Design, Automation and Test in Europe (DATE '07)
-
-
Humenay, E.1
Tarjan, D.2
Skadron, K.3
-
71
-
-
4644370318
-
Single-ISA heterogeneous multi-core architectures for multithreaded workload performance
-
R. Kumar et al., "Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance," Proc. 31st Ann. Int'l Symp. Computer Architecture (ISCA '04), 2004.
-
(2004)
Proc. 31st Ann. Int'l Symp. Computer Architecture (ISCA '04)
-
-
Kumar, R.1
-
73
-
-
71149110661
-
Maximizing power efficiency with asymmetric multicore systems
-
A. Fedorova, J.C. Saez, D. Shelepov, and M. Prieto, "Maximizing Power Efficiency with Asymmetric Multicore Systems," Comm. ACM, vol. 52, no. 12, pp. 48-57, 2009.
-
(2009)
Comm. ACM
, vol.52
, Issue.12
, pp. 48-57
-
-
Fedorova, A.1
Saez, J.C.2
Shelepov, D.3
Prieto, M.4
-
74
-
-
0014701246
-
Evaluation techniques for storage hierarchies
-
R.L. Mattson, J. Gecsei, D.R. Slutz, and I.L. Traiger, "Evaluation Techniques for Storage Hierarchies," IBM Systems J., vol. 9, pp. 78- 117, 1970.
-
(1970)
IBM Systems J.
, vol.9
, pp. 78-117
-
-
Mattson, R.L.1
Gecsei, J.2
Slutz, D.R.3
Traiger, I.L.4
-
76
-
-
48249118853
-
Amdahl's law in the multicore era
-
M.D. Hill and M.R. Marty, "Amdahl's Law in the Multicore Era," Computer, vol. 41, no. 7, pp. 33-38, 2008.
-
(2008)
Computer
, vol.41
, Issue.7
, pp. 33-38
-
-
Hill, M.D.1
Marty, M.R.2
-
77
-
-
77954531074
-
Operating system support for mitigating software scalability bottlenecks on asymmetric multicore processors
-
ACM Int'l Conf. Computing Frontiers (CF)
-
J.C. Saez, A. Fedorova, M. Prieto, and H. Vegas, "Operating System Support for Mitigating Software Scalability Bottlenecks on Asymmetric Multicore Processors," Proc. ACM Int'l Conf. Computing Frontiers (CF), 2010.
-
(2010)
Proc.
-
-
Saez, J.C.1
Fedorova, A.2
Prieto, M.3
Vegas, H.4
-
78
-
-
27544493676
-
Mitigating Amdahl's law through EPI throttling
-
Proceedings - 32nd International Symposium on Computer Architecture, ISCA 2005
-
M. Annavaram, E. Grochowski, and J. Shen, "Mitigating Amdahl's Law through EPI Throttling," Proc. 32nd Ann. Int'l Symp. Computer Architecture (ISCA '05), pp. 298-309, 2005. (Pubitemid 41543449)
-
(2005)
Proceedings - International Symposium on Computer Architecture
, pp. 298-309
-
-
Annavaram, M.1
Grochowski, E.2
Shen, J.3
-
79
-
-
47249139474
-
Using asymmetric single-ISA CMPs to save energy on operating systems
-
May/ June
-
J.C. Mogul, J. Mudigonda, N. Binkert, P. Ranganathan, and V. Talwar, "Using Asymmetric Single-ISA CMPs to Save Energy on Operating Systems," IEEE Micro, vol. 28, no. 3, pp. 26-41, May/ June 2008.
-
(2008)
IEEE Micro
, vol.28
, Issue.3
, pp. 26-41
-
-
Mogul, J.C.1
Mudigonda, J.2
Binkert, N.3
Ranganathan, P.4
Talwar, V.5
-
80
-
-
77952281111
-
Towards better performance per watt in virtual environments on asymmetric single-ISA multi- core systems
-
V. Kumar and A. Fedorova, "Towards Better Performance Per Watt in Virtual Environments on Asymmetric Single-ISA Multi- Core Systems," ACM SIGOPS Operating Systems Rev., vol. 43, no. 3, pp. 105-109, 2009.
-
(2009)
ACM SIGOPS Operating Systems Rev.
, vol.43
, Issue.3
, pp. 105-109
-
-
Kumar, V.1
Fedorova, A.2
-
81
-
-
56749104535
-
Efficient operating system scheduling for performance- asymmetric multi-core architectures
-
T. Li et al., "Efficient Operating System Scheduling for Performance- Asymmetric Multi-Core Architectures," Proc. ACM/IEEE Conf. Supercomputing (SC '07), 2007.
-
(2007)
Proc. ACM/ IEEE Conf. Supercomputing (SC '07)
-
-
Li, T.1
-
83
-
-
27544432558
-
The impact of performance asymmetry in emerging multicore architectures
-
Proceedings - 32nd International Symposium on Computer Architecture, ISCA 2005
-
S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai, "The Impact of Performance Asymmetry in Emerging Multicore Architectures," ACM SIGARCH Computer Architecture News, vol. 33, no. 2, pp. 506- 517, 2005. (Pubitemid 41543466)
-
(2005)
Proceedings - International Symposium on Computer Architecture
, pp. 506-517
-
-
Balakrishnan, S.1
Rajwar, R.2
Upton, M.3
Lai, K.4
-
86
-
-
28244476553
-
Scheduling for heterogeneous processors in server systems
-
DOI 10.1145/1062261.1062295, 2005 Computing Frontiers Conference
-
S. Ghiasi, T. Keller, and F. Rawson, "Scheduling for Heterogeneous Processors in Server Systems," Proc. Second Conf. Computing Frontiers (CF '05), pp. 199-210, 2005. (Pubitemid 43325355)
-
(2005)
2005 Computing Frontiers Conference
, pp. 199-210
-
-
Ghiasi, S.1
Keller, T.2
Rawson, F.3
|