메뉴 건너뛰기




Volumn 24, Issue 7, 2013, Pages 1447-1464

Survey of energy-cognizant scheduling techniques

Author keywords

asymmetric multicore processors; cooperative resource sharing; power aware scheduling; shared resource contention; Survey; thermal effects; thread level scheduling

Indexed keywords

ASYMMETRIC MULTICORE; COMPUTATIONAL SYSTEM; ENERGY MINIMIZATION; HARDWARE DESIGNERS; POWER-AWARE SCHEDULING; RESOURCE SHARING; SCHEDULING TECHNIQUES; SHARED RESOURCE CONTENTIONS;

EID: 84878400706     PISSN: 10459219     EISSN: None     Source Type: Journal    
DOI: 10.1109/TPDS.2012.20     Document Type: Review
Times cited : (129)

References (86)
  • 4
    • 35348909664 scopus 로고    scopus 로고
    • The high-k solution
    • DOI 10.1109/MSPEC.2007.4337663
    • M. Bohr, R. Chau, T. Ghani, and K. Mistry, "The High-k Solution," IEEE Spectrum, vol. 44, no. 10, pp. 29-35, Oct. 2007. (Pubitemid 47570274)
    • (2007) IEEE Spectrum , vol.44 , Issue.10 , pp. 29-35
    • Bohr, M.T.1    Chau, R.S.2    Ghani, T.3    Mistry, K.4
  • 5
    • 0041537580 scopus 로고    scopus 로고
    • Transistor elements for 30 nm physical gate lengths and beyond
    • M. Group, and I. Corporation
    • T.J. Semiconductor, B. Doyle, M. Group, and I. Corporation, "Transistor Elements for 30 nm Physical Gate Lengths and Beyond," Int'l Technology J., vol. 6, pp. 42-54, 2002.
    • (2002) Int'l Technology J. , vol.6 , pp. 42-54
    • Semiconductor, T.J.1    Doyle, B.2
  • 14
    • 0043237598 scopus 로고    scopus 로고
    • Scheduling with dynamic voltage/speed adjustment using slack reclamation in multiprocessor real-time systems
    • July
    • D. Zhu, R. Melhem, and B. Childers, "Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems," IEEE Trans. Parallel and Distributed Systems, vol. 14, no. 7, pp. 686-700, July 2003.
    • (2003) IEEE Trans. Parallel and Distributed Systems , vol.14 , Issue.7 , pp. 686-700
    • Zhu, D.1    Melhem, R.2    Childers, B.3
  • 15
    • 36949001469 scopus 로고    scopus 로고
    • An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
    • DOI 10.1109/MICRO.2006.8, 4041859, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
    • C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for A Given Power Budget," Proc. 39th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO), pp. 347-358. 2006. (Pubitemid 351337009)
    • (2006) Proceedings of the Annual International Symposium on Microarchitecture, MICRO , pp. 347-358
    • Isci, C.1    Buyuktosunoglu, A.2    Cher, C.-Y.3    Bose, P.4    Martonosi, M.5
  • 16
    • 36949025660 scopus 로고    scopus 로고
    • Dynamic voltage frequency scaling for multi-tasking systems using online learning
    • DOI 10.1145/1283780.1283825, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
    • G. Dhiman and T.S. Rosing, "Dynamic Voltage Frequency Scaling for Multi-Tasking Systems Using Online Learning," Proc. Int'l Symp. Low Power Electronics and Design (ISLPED), pp. 207-212. 2007. (Pubitemid 350239927)
    • (2007) Proceedings of the International Symposium on Low Power Design , pp. 207-212
    • Dhiman, G.1    Rosing, T.S.2
  • 21
    • 79959721649 scopus 로고    scopus 로고
    • Energy conscious scheduling for distributed computing systems under different operating conditions
    • Aug
    • Y.C. Lee and A. Zomaya, "Energy Conscious Scheduling for Distributed Computing Systems under Different Operating Conditions," IEEE Trans. Parallel and Distributed Systems, vol. 22, no. 8, pp. 1374-1381, Aug. 2011.
    • (2011) IEEE Trans. Parallel and Distributed Systems , vol.22 , Issue.8 , pp. 1374-1381
    • Lee, Y.C.1    Zomaya, A.2
  • 23
    • 36949040798 scopus 로고    scopus 로고
    • Analysis of dynamic voltage/frequency scaling in chip-multiprocessors
    • DOI 10.1145/1283780.1283790, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
    • S. Herbert and D. Marculescu, "Analysis of Dynamic Voltage/ Frequency Scaling in Chip-Multiprocessors," Proc. Int'l Symp. Low power Electronics and Design (ISLPED '07), pp. 38-43, 2007. (Pubitemid 350239900)
    • (2007) Proceedings of the International Symposium on Low Power Design , pp. 38-43
    • Herbert, S.1    Marculescu, D.2
  • 25
    • 79960488261 scopus 로고    scopus 로고
    • Intel Labs May
    • Intel Labs, The SCC Platform Overview, http://techresearch.intel. com/spaw2/uploads/files/SCC-Platform-Overview.pdf, May 2010.
    • (2010) The SCC Platform Overview
  • 26
    • 44849104306 scopus 로고    scopus 로고
    • Corollaries to amdahl's law for energy
    • Jan
    • S. Cho and R.G. Melhem, "Corollaries to Amdahl's Law for Energy," Computer Architecture Letters, vol. 7, no. 1, pp. 25-28, Jan. 2008.
    • (2008) Computer Architecture Letters , vol.7 , Issue.1 , pp. 25-28
    • Cho, S.1    Melhem, R.G.2
  • 27
    • 76749158316 scopus 로고    scopus 로고
    • On the interplay of parallelization, program performance, and energy consumption
    • Mar
    • S. Cho and R.G. Melhem, "On the Interplay of Parallelization, Program Performance, and Energy Consumption," IEEE Trans. Parallel and Distributed Systems, vol. 21, no. 3, pp. 342-353, Mar. 2010.
    • (2010) IEEE Trans. Parallel and Distributed Systems , vol.21 , Issue.3 , pp. 342-353
    • Cho, S.1    Melhem, R.G.2
  • 30
    • 1542329196 scopus 로고    scopus 로고
    • Power-aware scheduling of conditional task graphs in real-time multiprocessor systems
    • D. Shin and J. Kim, "Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems," Proc. Int'l Symp. Low Power Electronics and Design (ISLPED), pp. 408-413, 2003.
    • (2003) Proc. Int'l Symp. Low Power Electronics and Design (ISLPED) , pp. 408-413
    • Shin, D.1    Kim, J.2
  • 31
    • 34248374123 scopus 로고    scopus 로고
    • Online power-performance adaptation of multithreaded programs using hardware event-based prediction
    • DOI 10.1145/1183401.1183426, Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006
    • M. Curtis-Maury, J. Dzierwa, C.D. Antonopoulos, and D.S. Nikolopoulos, "Online Power-Performance Adaptation of Multithreaded Programs Using Hardware Event-based Prediction," Proc. 20th Ann. Int'l Conf. Supercomputing (ICS '06), pp. 157-166, 2006. (Pubitemid 47168502)
    • (2006) Proceedings of the International Conference on Supercomputing , pp. 157-166
    • Curtis-Maury, M.1    Dzierwa, J.2    Antonopoulos, C.D.3    Nikolopoulos, D.S.4
  • 34
    • 49049114570 scopus 로고    scopus 로고
    • Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS
    • M. Kondo, H. Sasaki, and H. Nakamura, "Improving Fairness, Throughput and Energy-Efficiency on a Chip Multiprocessor through DVFS," ACM SIGARCH Computer Architecture News, vol. 35, no. 1, pp. 31-38, 2007.
    • (2007) ACM SIGARCH Computer Architecture News , vol.35 , Issue.1 , pp. 31-38
    • Kondo, M.1    Sasaki, H.2    Nakamura, H.3
  • 36
    • 52949134455 scopus 로고    scopus 로고
    • Power reduction of chip multi-processors using shared resource control cooperating with DVFS
    • Oct.
    • R. Watanabe, M. Kondo, H. Nakamura, and T. Nanya, "Power Reduction of Chip Multi-Processors Using Shared Resource Control Cooperating with DVFS," Proc. 25th Int'l Conf. Computer Design (ICCD), pp. 615 -622, Oct. 2007.
    • (2007) Proc. 25th Int'l Conf. Computer Design (ICCD) , pp. 615-622
    • Watanabe, R.1    Kondo, M.2    Nakamura, H.3    Nanya, T.4
  • 38
    • 47249103334 scopus 로고    scopus 로고
    • Using OS observations to improve performance in multicore systems
    • May
    • R. Knauerhase, P. Brett, B. Hohlt, T. Li, and S. Hahn, "Using OS Observations to Improve Performance in Multicore Systems," IEEE Micro, vol. 28, no. 3, pp. 54-66, May 2008.
    • (2008) IEEE Micro , vol.28 , Issue.3 , pp. 54-66
    • Knauerhase, R.1    Brett, P.2    Hohlt, B.3    Li, T.4    Hahn, S.5
  • 41
    • 0036038691 scopus 로고    scopus 로고
    • Symbiotic jobscheduling with priorities for a simultaneous multithreading processor
    • A. Snavely, D.M. Tullsen, and G. Voelker, "Symbiotic Jobscheduling with Priorities for A Simultaneous Multithreading Processor," Proc. ACM SIGMETRICS Int'l Conf. Measurement and Modeling of Computer Systems (SIGMETRICS '02), pp. 66-76, 2002. (Pubitemid 35009510)
    • (2002) Performance Evaluation Review , vol.30 , Issue.1 , pp. 66-76
    • Snavely, A.1    Tullsen, D.M.2    Voelker, G.3
  • 45
    • 84855812515 scopus 로고    scopus 로고
    • A study on optimally co- scheduling jobs of different lengths on chip multiprocessors
    • K. Tian, Y. Jiang, and X. Shen, "A Study on Optimally Co- Scheduling Jobs of Different Lengths on Chip Multiprocessors," Proc. Sixth ACM Conf. Computing Frontiers (CF '09), pp. 41-50, 2009.
    • (2009) Proc. Sixth ACM Conf. Computing Frontiers (CF '09) , pp. 41-50
    • Tian, K.1    Jiang, Y.2    Shen, X.3
  • 46
    • 0242335116 scopus 로고    scopus 로고
    • Thermally driven reliability issues in microelectronic systems: Status-quo and challenges
    • C.J.M. Lasance, "Thermally Driven Reliability Issues in Microelectronic Systems: Status-Quo and Challenges," Microelectronics Reliability, vol. 43, no. 12, pp. 1969-1974, 2003.
    • (2003) Microelectronics Reliability , vol.43 , Issue.12 , pp. 1969-1974
    • Lasance, C.J.M.1
  • 53
    • 34250858227 scopus 로고    scopus 로고
    • Thermal-aware scheduling for future chip multiprocessors
    • K. Stavrou and P. Trancoso, "Thermal-Aware Scheduling for Future Chip Multiprocessors," EURASIP J. Embedded Systems, vol. 2007, no. 1, pp. 40-40, 2007.
    • (2007) EURASIP J. Embedded Systems , vol.2007 , Issue.1 , pp. 40-40
    • Stavrou, K.1    Trancoso, P.2
  • 54
    • 34548335311 scopus 로고    scopus 로고
    • Temperature aware task scheduling in MPSoCs
    • DOI 10.1109/DATE.2007.364540, 4212050, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
    • A.K. Coskun, T.S. Rosing, and K. Whisnant, "Temperature Aware Task Scheduling in MPSoCs," Proc. Design, Automation and Test in Europe (DATE '07), pp. 1659-1664, 2007. (Pubitemid 47334202)
    • (2007) Proceedings -Design, Automation and Test in Europe, DATE , pp. 1659-1664
    • Coskun, A.K.1    Rosing, T.S.2    Whisnant, K.3
  • 64
    • 34547143358 scopus 로고    scopus 로고
    • HybDTM: A coordinated hardware-software approach for dynamic thermal management
    • DOI 10.1145/1146909.1147052, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • A. Kumar, L. Shang, L.-S. Peh, and N.K. Jha, "HybDTM: A Coordinated Hardware-Software Approach for Dynamic Thermal Management," Proc. 43rd Ann. Design Automation Conf. (DAC '06), pp. 548-553, 2006. (Pubitemid 47113957)
    • (2006) Proceedings - Design Automation Conference , pp. 548-553
    • Kumar, A.1    Shang, L.2    Peh, L.-S.3    Jha, N.K.4
  • 68
    • 33845904113 scopus 로고    scopus 로고
    • Techniques for multicore thermal management: Classification and new exploration
    • DOI 10.1109/ISCA.2006.39, 1635942, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
    • J. Donald and M. Martonosi, "Techniques for Multicore Thermal Management: Classification and New Exploration," Proc. 33rd Ann. Int'l Symp. Computer Architecture (ISCA '06), pp. 78-88, 2006. (Pubitemid 46016606)
    • (2006) Proceedings - International Symposium on Computer Architecture , vol.2006 , pp. 78-88
    • Donald, J.1    Martonosi, M.2
  • 69
    • 84944403811 scopus 로고    scopus 로고
    • Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
    • R. Kumar et al., "Single-ISA Heterogeneous Multi-Core Architectures: the Potential for Processor Power Reduction," Proc. 36th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-36), 2003.
    • (2003) Proc. 36th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-36)
    • Kumar, R.1
  • 71
    • 4644370318 scopus 로고    scopus 로고
    • Single-ISA heterogeneous multi-core architectures for multithreaded workload performance
    • R. Kumar et al., "Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance," Proc. 31st Ann. Int'l Symp. Computer Architecture (ISCA '04), 2004.
    • (2004) Proc. 31st Ann. Int'l Symp. Computer Architecture (ISCA '04)
    • Kumar, R.1
  • 73
    • 71149110661 scopus 로고    scopus 로고
    • Maximizing power efficiency with asymmetric multicore systems
    • A. Fedorova, J.C. Saez, D. Shelepov, and M. Prieto, "Maximizing Power Efficiency with Asymmetric Multicore Systems," Comm. ACM, vol. 52, no. 12, pp. 48-57, 2009.
    • (2009) Comm. ACM , vol.52 , Issue.12 , pp. 48-57
    • Fedorova, A.1    Saez, J.C.2    Shelepov, D.3    Prieto, M.4
  • 76
    • 48249118853 scopus 로고    scopus 로고
    • Amdahl's law in the multicore era
    • M.D. Hill and M.R. Marty, "Amdahl's Law in the Multicore Era," Computer, vol. 41, no. 7, pp. 33-38, 2008.
    • (2008) Computer , vol.41 , Issue.7 , pp. 33-38
    • Hill, M.D.1    Marty, M.R.2
  • 77
    • 77954531074 scopus 로고    scopus 로고
    • Operating system support for mitigating software scalability bottlenecks on asymmetric multicore processors
    • ACM Int'l Conf. Computing Frontiers (CF)
    • J.C. Saez, A. Fedorova, M. Prieto, and H. Vegas, "Operating System Support for Mitigating Software Scalability Bottlenecks on Asymmetric Multicore Processors," Proc. ACM Int'l Conf. Computing Frontiers (CF), 2010.
    • (2010) Proc.
    • Saez, J.C.1    Fedorova, A.2    Prieto, M.3    Vegas, H.4
  • 79
    • 47249139474 scopus 로고    scopus 로고
    • Using asymmetric single-ISA CMPs to save energy on operating systems
    • May/ June
    • J.C. Mogul, J. Mudigonda, N. Binkert, P. Ranganathan, and V. Talwar, "Using Asymmetric Single-ISA CMPs to Save Energy on Operating Systems," IEEE Micro, vol. 28, no. 3, pp. 26-41, May/ June 2008.
    • (2008) IEEE Micro , vol.28 , Issue.3 , pp. 26-41
    • Mogul, J.C.1    Mudigonda, J.2    Binkert, N.3    Ranganathan, P.4    Talwar, V.5
  • 80
    • 77952281111 scopus 로고    scopus 로고
    • Towards better performance per watt in virtual environments on asymmetric single-ISA multi- core systems
    • V. Kumar and A. Fedorova, "Towards Better Performance Per Watt in Virtual Environments on Asymmetric Single-ISA Multi- Core Systems," ACM SIGOPS Operating Systems Rev., vol. 43, no. 3, pp. 105-109, 2009.
    • (2009) ACM SIGOPS Operating Systems Rev. , vol.43 , Issue.3 , pp. 105-109
    • Kumar, V.1    Fedorova, A.2
  • 81
    • 56749104535 scopus 로고    scopus 로고
    • Efficient operating system scheduling for performance- asymmetric multi-core architectures
    • T. Li et al., "Efficient Operating System Scheduling for Performance- Asymmetric Multi-Core Architectures," Proc. ACM/IEEE Conf. Supercomputing (SC '07), 2007.
    • (2007) Proc. ACM/ IEEE Conf. Supercomputing (SC '07)
    • Li, T.1
  • 86
    • 28244476553 scopus 로고    scopus 로고
    • Scheduling for heterogeneous processors in server systems
    • DOI 10.1145/1062261.1062295, 2005 Computing Frontiers Conference
    • S. Ghiasi, T. Keller, and F. Rawson, "Scheduling for Heterogeneous Processors in Server Systems," Proc. Second Conf. Computing Frontiers (CF '05), pp. 199-210, 2005. (Pubitemid 43325355)
    • (2005) 2005 Computing Frontiers Conference , pp. 199-210
    • Ghiasi, S.1    Keller, T.2    Rawson, F.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.