-
2
-
-
0036045884
-
Scratchpad memory: Design alternative for cache on-chip memory in embedded systems
-
BANAKAR, R., STEINKE, S., LEE, B.-S., BALAKRISHNAN, M., AND MARWEDEL, P. 2002. Scratchpad memory: Design alternative for cache on-chip memory in embedded systems. In Proceedings of the 10th International Symposium on Hardware/Software Codesign (CODES'02). 73-78.
-
(2002)
Proceedings of the 10th International Symposium on Hardware/Software Codesign (CODES'02)
, pp. 73-78
-
-
Banakar, R.1
Steinke, S.2
Lee, B.-S.3
Balakrishnan, M.4
Marwedel, P.5
-
5
-
-
70350724724
-
A commitment-based management strategy for the performance and reliability enhancement of flash-memory storage systems
-
CHANG, Y.-H. AND KUO, T.-W. 2009. A commitment-based management strategy for the performance and reliability enhancement of flash-memory storage systems. In Proceedings of the 46th Annual Design Automation Conference (DAC'09). 858-863.
-
(2009)
Proceedings of the 46th Annual Design Automation Conference (DAC'09)
, pp. 858-863
-
-
Chang, Y.-H.1
Kuo, T.-W.2
-
6
-
-
34047150455
-
Dynamic scratch-pad memory management for irregular array access patterns
-
CHEN, G., OZTURK, O., KANDEMIR, M., AND KARAKOY, M. 2006. Dynamic scratch-pad memory management for irregular array access patterns. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06). 931-936.
-
(2006)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06)
, pp. 931-936
-
-
Chen, G.1
Ozturk, O.2
Kandemir, M.3
Karakoy, M.4
-
7
-
-
49749087993
-
Design margin exploration of spin-torque transfer ram (spram)
-
CHEN, Y.,WANG, X., LI, H., LIU, H., AND DIMITROV, D. 2008. Design margin exploration of spin-torque transfer ram (spram). In Proceedings of the International Symposium on Quality Electronic Design (ISQED'08). 684-690.
-
(2008)
Proceedings of the International Symposium on Quality Electronic Design (ISQED'08)
, pp. 684-690
-
-
Chen, Y.1
Wang, X.2
Liu, H.3
Dimitrov, D.4
-
9
-
-
76349091566
-
Pcramsim: System-level performance, energy, and area modeling for phase-change ram
-
DONG, X., JOUPPI, N. P., AND XIE, Y. 2009. Pcramsim: System-level performance, energy, and area modeling for phase-change ram. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'09). 269-275.
-
(2009)
Proceedings of the International Conference on Computer-Aided Design (ICCAD'09)
, pp. 269-275
-
-
Dong, X.1
Jouppi, N.P.2
Xie, Y.3
-
10
-
-
51549109199
-
Circuit and microarchitecture evaluation of 3d stacking magnetic ram (mram) as a universal memory replacement
-
DONG, X., WU, X., SUN, G., XIE, Y., LI, H., AND CHEN, Y. 2008. Circuit and microarchitecture evaluation of 3d stacking magnetic ram (mram) as a universal memory replacement. In Proceedings of the 45th Annual Design Automation Conference (DAC'08). 554-559.
-
(2008)
Proceedings of the 45th Annual Design Automation Conference (DAC'08)
, pp. 554-559
-
-
Dong, X.1
Sun, G.2
Xie, Y.3
Chen, Y.4
-
12
-
-
77953091093
-
Increasing pcm main memory lifetime
-
FERREIRA, A. P., ZHOU, M., BOCK, S., CHILDERS, B., MELHEM, R., AND MOSSE, D. 2010. Increasing pcm main memory lifetime. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10). 914-919.
-
(2010)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10)
, pp. 914-919
-
-
Ferreira, A.P.1
Zhou, M.2
Bock, S.3
Childers, B.4
Melhem, R.5
Mosse, D.6
-
13
-
-
0023384210
-
Fibonacci heaps and their uses in improved network optimization algorithms
-
FREDMAN, M. L. AND TARJAN, R. E. 1987. Fibonacci heaps and their uses in improved network optimization algorithms. J. ACM 34, 596-615.
-
(1987)
J. ACM
, vol.34
, pp. 596-615
-
-
Fredman, M.L.1
Tarjan, R.E.2
-
15
-
-
79953103086
-
Write activity minimization for non-volatile main memory via scheduling and recomputation
-
HU, J., TSENG, W.-C., XUE, C. J., ZHUGE, Q., ZHAO, Y., AND SHA, E. H.-M. 2011a. Write activity minimization for non-volatile main memory via scheduling and recomputation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30, 4, 584-592.
-
(2011)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.30
, Issue.4
, pp. 584-592
-
-
Tseng, W.-C.1
Xue, C.J.2
Zhuge, Q.3
Zhao, Y.4
Sha, E.H.-M.5
-
16
-
-
77956207016
-
Reducing write activities on non-volatile memories in embedded cmps via data migration and recomputation
-
HU, J., XUE, C. J., TSENG, W.-C., HE, Y., QIU, M., AND SHA, E. H.-M. 2010. Reducing write activities on non-volatile memories in embedded cmps via data migration and recomputation. In Proceedings of the 47th Annual Design Automation Conference (DAC'10). 350-355.
-
(2010)
Proceedings of the 47th Annual Design Automation Conference (DAC'10)
, pp. 350-355
-
-
Xue, C.J.1
Tseng, W.-C.2
Qiu, M.3
Sha, E.H.-M.4
-
17
-
-
77955734495
-
Minimizing write activities to non-volatile memory via scheduling and recomputation
-
HU, J., XUE, C. J., TSENG, W.-C., ZHUGE, Q., AND SHA, E. H.-M. 2010. Minimizing write activities to non-volatile memory via scheduling and recomputation. In Proceedings of the 8th IEEE Symposium on Application Specific Processors (SASP'10). 7-12.
-
(2010)
Proceedings of the 8th IEEE Symposium on Application Specific Processors (SASP'10)
, pp. 7-12
-
-
Xue, C.J.1
Tseng, W.-C.2
Zhuge, Q.3
Sha, E.H.-M.4
-
18
-
-
79957545701
-
Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory
-
HU, J., XUE, C. J., ZHUGE, Q., TSENG, W.-C., AND SHA, E. H.-M. 2011b. Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition.
-
(2011)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition
-
-
Xue, C.J.1
Zhuge, Q.2
Tseng, W.-C.3
Sha, E.H.-M.4
-
20
-
-
34547144263
-
Demand paging for onenandTM flash execute-in-place
-
JOO, Y., CHOI, Y., PARK, C., CHUNG, S. W., CHUNG, E.-Y., AND CHANG, N. 2006. Demand paging for onenandTM flash execute-in-place. In Proceedings of the Internatinal Conference on Hardware/Software Codesign and System Synthesis. 229-234.
-
(2006)
Proceedings of the Internatinal Conference on Hardware/Software Codesign and System Synthesis
, pp. 229-234
-
-
Joo, Y.1
Choi, Y.2
Park, C.3
Chung, S.W.4
Chung, E.-Y.5
Chang, N.6
-
21
-
-
77953117822
-
Energy-and endurance-aware design of phase change memory caches
-
JOO, Y.,NIU, D.,DONG, X., SUN, G., CHANG, N., AND XIE, Y. 2010. Energy-and endurance-aware design of phase change memory caches. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10). 136-141.
-
(2010)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10)
, pp. 136-141
-
-
Joo, Y.1
Niu, D.2
Dong, X.3
Sun, G.4
Chang, N.5
Xie, Y.6
-
22
-
-
77956195523
-
Using data replication to reduce communication energy on chip multiprocessors
-
KANDEMIR, M., CHEN, G., LI, F., AND DEMIRKIRAN, I. 2005. Using data replication to reduce communication energy on chip multiprocessors. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'05). 769-772.
-
(2005)
Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'05)
, pp. 769-772
-
-
Kandemir, M.1
Chen, G.2
Demirkiran, I.3
-
26
-
-
0034848113
-
Dynamic management of scratch-pad memory space
-
KANDEMIR, M., RAMANUJAM, J., IRWIN, J., VIJAYKRISHNAN, N., KADAYIF, I., AND PARIKH, A. 2001. Dynamic management of scratch-pad memory space. In Proceedings of the 38th Annual Design Automation Conference (DAC'01). 690-695.
-
(2001)
Proceedings of the 38th Annual Design Automation Conference (DAC'01)
, pp. 690-695
-
-
Kandemir, M.1
Ramanujam, J.2
Irwin, J.3
Vijaykrishnan, N.4
Kadayif, I.5
Parikh, A.6
-
27
-
-
1242286076
-
A compilerbased approach for dynamically managing scratch-pad memories in embedded systems
-
KANDEMIR, M. T., RAMANUJAM, J., IRWIN, M. J., VIJAYKRISHNAN, N., KADAYIF, I., AND PARIKH, A. 2004. A compilerbased approach for dynamically managing scratch-pad memories in embedded systems. IEEE Trans. on CAD of Integrated Circuits and Systems 23, 2, 243-260.
-
(2004)
IEEE Trans. on CAD of Integrated Circuits and Systems
, vol.23
, Issue.2
, pp. 243-260
-
-
Kandemir, M.T.1
Ramanujam, J.2
Irwin, M.J.3
Vijaykrishnan, N.4
Kadayif, I.5
Parikh, A.6
-
28
-
-
0742303640
-
A 600-mhz single-chip multiprocessor with 4.8-gb/s internal shared pipelined bus and 512-kb internal memory
-
KANEKO, S., KONDO, H., MASUI, N., ISHIMI, K., ITOU, T., SATOU, M., OKUMURA, N., TAKATA, Y., TAKATA, H., SAKUGAWA, M., HIGUCHI, T., OHTANI, S., SAKAMOTO, K., ISHIKAWA, N., NAKAJIMA, M., IWATA, S., HAYASE, K., NAKANO, S., NAKAZAWA, S., YAMADA, K., AND SHIMIZU, T. 2004. A 600-mhz single-chip multiprocessor with 4.8-gb/s internal shared pipelined bus and 512-kb internal memory. IEEE Journal of Solid-State Circuits 39, 1, 184-193.
-
(2004)
IEEE Journal of Solid-State Circuits
, vol.39
, Issue.1
, pp. 184-193
-
-
Kaneko, S.1
Kondo, H.2
Masui, N.3
Ishimi, K.4
Itou, T.5
Satou, M.6
Okumura, N.7
Takata, Y.8
Takata, H.9
Sakugawa, M.10
Higuchi, T.11
Ohtani, S.12
Sakamoto, K.13
Ishikawa, N.14
Nakajima, M.15
Iwata, S.16
Hayase, K.17
Nakano, S.18
Nakazawa, S.19
Yamada, K.20
Shimizu, T.21
more..
-
30
-
-
51949114502
-
Two-bit cell operation in diode-switch phase change memory cells with 90nm technology
-
KANG, D.-H., LEE, J.-H., KONG, J.,HA, D., YU, J., UM, C., PARK, J., YEUNG, F.,KIM, J., PARK, W., JEON, Y., LEE, M., SONG, Y., OH, J., JEONG, G., AND JEONG, H. 2008. Two-bit cell operation in diode-switch phase change memory cells with 90nm technology. In Proceedings of the Symposium on VLSI Technology. 98-99.
-
(2008)
Proceedings of the Symposium on VLSI Technology
, pp. 98-99
-
-
Kang, D.-H.1
Lee, J.-H.2
Kong, J.3
Ha, D.4
Park, J.5
Yeung, F.6
Kim, J.7
Park, W.8
Jeon, Y.9
Lee, M.10
Song, Y.11
Jeong, G.12
Jeong, H.13
-
31
-
-
34547317963
-
Reducing off-chip memory access costs using data recomputation in embedded chip multi-processors
-
KOC, H., KANDEMIR, M., ERCANLI, E., AND OZTURK, O. 2007. Reducing off-chip memory access costs using data recomputation in embedded chip multi-processors. In Proceedings of the 44th Annual Design Automation Conference (DAC'07). 224-229.
-
(2007)
Proceedings of the 44th Annual Design Automation Conference (DAC'07)
, pp. 224-229
-
-
Koc, H.1
Kandemir, M.2
Ercanli, E.3
Ozturk, O.4
-
32
-
-
70450235471
-
Architecting phase change memory as a scalable dram alternative
-
LEE, B. C., IPEK, E.,MUTLU, O., AND BURGER, D. 2009. Architecting phase change memory as a scalable dram alternative. In Proceedings of the 36th International Symposium on Computer Architecture (ISCA'09).
-
(2009)
Proceedings of the 36th International Symposium on Computer Architecture (ISCA'09)
-
-
Lee, B.C.1
Ipek, E.2
Mutlu, O.3
Burger, D.4
-
36
-
-
64549095203
-
An alternate design paradigm for robust spin-torque transfer magnetic ram (stt mram) from circuit/architecture perspective
-
LI, J., NDAI, P., GOEL, A., LIU, H., AND ROY, K. 2009. An alternate design paradigm for robust spin-torque transfer magnetic ram (stt mram) from circuit/architecture perspective. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'09). 841-846.
-
(2009)
Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'09)
, pp. 841-846
-
-
Ndai, P.1
Goel, A.2
Liu, H.3
Roy, K.4
-
38
-
-
80052676081
-
Power-ware variable partitioning for dsps with hybrid pram and dram main memory
-
LIU, T., XUE, C., ZHAO, Y., AND LI, M. 2011. Power-ware variable partitioning for dsps with hybrid pram and dram main memory. In Proceedings of the 48th Annual Design Automation Conference (DAC'11).
-
(2011)
Proceedings of the 48th Annual Design Automation Conference (DAC'11)
-
-
Liu, T.1
Xue, C.2
Zhao, Y.3
-
39
-
-
56749145921
-
A low-power phase change memory based hybrid cache architecture
-
MANGALAGIRI, P., SARPATWARI, K., YANAMANDRA, A., NARAYANAN, V., XIE, Y., IRWIN, M. J., AND KARIM, O. A. 2008. A low-power phase change memory based hybrid cache architecture. In Proceedings of the 18th ACM Great Lakes symposium on VLSI (GLSVLSI'08). 395-398.
-
(2008)
Proceedings of the 18th ACM Great Lakes Symposium on VLSI (GLSVLSI'08)
, pp. 395-398
-
-
Mangalagiri, P.1
Sarpatwari, K.2
Yanamandra, A.3
Narayanan, V.4
Xie, Y.5
Irwin, M.J.6
Karim, O.A.7
-
42
-
-
27644599639
-
Compiler-assisted demand paging for embedded systems with flash memory
-
PARK, C., LIM, J., KWON, K., LEE, J., AND MIN, S. L. 2004. Compiler-assisted demand paging for embedded systems with flash memory. In Proceedings of the 4th ACM International Conference on Embedded Software (EMSOFT'04). 114-124.
-
(2004)
Proceedings of the 4th ACM International Conference on Embedded Software (EMSOFT'04)
, pp. 114-124
-
-
Park, C.1
Lim, J.2
Kwon, K.3
Lee, J.4
Min, S.L.5
-
43
-
-
1142275612
-
A low-cost memory architecture with nand xip for mobile embedded systems
-
PARK, C., SEO, J., BAE, S., KIM, H., KIM, S., AND KIM, B. 2003. A low-cost memory architecture with nand xip for mobile embedded systems. In Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'03). 138-143.
-
(2003)
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'03)
, pp. 138-143
-
-
Park, C.1
Seo, J.2
Bae, S.3
Kim, H.4
Kim, S.5
Kim, B.6
-
44
-
-
63549126166
-
Edge-centric modulo scheduling for coarse-grained reconfigurable architectures
-
PARK, H., FAN, K., MAHLKE, S. A., OH, T., KIM, H., AND KIM, H.-S. 2008. Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT'08). 166-176.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT'08)
, pp. 166-176
-
-
Park, H.1
Fan, K.2
Mahlke, S.A.3
Kim, H.4
Kim, H.-S.5
-
45
-
-
34547194263
-
Cflru: A replacement algorithm for flash memory
-
PARK, S.-Y., JUNG, D., KANG, J.-U., KIM, J.-S., AND LEE, J. 2006. Cflru: A replacement algorithm for flash memory. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06). 234-241.
-
(2006)
Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06)
, pp. 234-241
-
-
Park, S.-Y.1
Jung, D.2
Kang, J.-U.3
Kim, J.-S.4
Lee, J.5
-
47
-
-
70350075829
-
Using non-volatile memory to save energy in servers
-
ROBERTS, D., KGIL, T., AND MUDGE, T. N. 2009. Using non-volatile memory to save energy in servers. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09). 743-748.
-
(2009)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09)
, pp. 743-748
-
-
Roberts, D.1
Kgil, T.2
Mudge, T.N.3
-
48
-
-
77954496810
-
Write activity reduction on flash main memory via smart victim cache
-
SHI, L., XUE, C. J., HU, J., TSENG, W.-C., AND SHA, E. H.-M. 2010. Write activity reduction on flash main memory via smart victim cache. In Proceedings of the 20th ACM/IEEE Great Lakes Symposium on VLSI (GLVLSI'10). 91-94.
-
(2010)
Proceedings of the 20th ACM/IEEE Great Lakes Symposium on VLSI (GLVLSI'10)
, pp. 91-94
-
-
Shi, L.1
Xue, C.J.2
Tseng, W.-C.3
Sha, E.H.-M.4
-
49
-
-
84879409036
-
Wcet centric data allocation to scratchpad memory
-
SUHENDRA, V.,MITRA, T., ROYCHOUDHURY, A., AND CHEN, T. 2005. Wcet centric data allocation to scratchpad memory. In Proceedings of the 26th IEEE International Real-Time Systems Symposium (RTSS'05). 223-232.
-
(2005)
Proceedings of the 26th IEEE International Real-Time Systems Symposium (RTSS'05)
, pp. 223-232
-
-
Suhendra, V.1
Mitra, T.2
Roychoudhury, A.3
Chen, T.4
-
50
-
-
34547183989
-
Integrated scratchpad memory optimization and task scheduling for mpsoc architectures
-
SUHENDRA, V., RAGHAVAN, C., AND MITRA, T. 2006. Integrated scratchpad memory optimization and task scheduling for mpsoc architectures. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06). 401-410.
-
(2006)
Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06)
, pp. 401-410
-
-
Suhendra, V.1
Raghavan, C.2
Mitra, T.3
-
51
-
-
78650950735
-
Optimal scheduling to minimize non-volatile memory access time with hardware cache
-
TSENG, W.-C., XUE, C. J., ZHUGE, Q., HU, J., AND SHA, E. H.-M. 2010. Optimal scheduling to minimize non-volatile memory access time with hardware cache. In Proceedings of the VLSI-SOC'10. 131-136.
-
(2010)
Proceedings of the VLSI-SOC
, vol.10
, pp. 131-136
-
-
Tseng, W.-C.1
Xue, C.J.2
Zhuge, Q.3
Sha, E.H.-M.4
-
54
-
-
84976695648
-
Envy: A non-volatile, main memory storage system
-
WU, M. AND ZWAENEPOEL, W. 1994. Envy: A non-volatile, main memory storage system. ACM SIGOPS Operating System Revew 28, 5, 86-97.
-
(1994)
ACM SIGOPS Operating System Revew
, vol.28
, Issue.5
, pp. 86-97
-
-
Zwaenepoel, W.1
-
55
-
-
70350075835
-
A file-system-aware ftl design for flash-memory storage systems
-
WU, P.-L., CHANG, Y.-H., AND KUO, T.-W. 2009. A file-system-aware ftl design for flash-memory storage systems. In Proceedings of the ACM/IEEE Design, Automation and Test in Europe (DATE'09). 393-398.
-
(2009)
Proceedings of the ACM/IEEE Design, Automation and Test in Europe (DATE'09)
, pp. 393-398
-
-
Chang, Y.-H.1
Kuo, T.-W.2
-
56
-
-
70450243083
-
Hybrid cache architecture with disparate memory technologies
-
WU, X., LI, J., ZHANG, L., SPEIGHT, E., RAJAMONY, R., AND XIE, Y. 2009. Hybrid cache architecture with disparate memory technologies. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09). 34-45.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09)
, pp. 34-45
-
-
Zhang, L.1
Speight, E.2
Rajamony, R.3
Xie, Y.4
-
57
-
-
70350066513
-
Power and performance of read-write aware hybrid caches with non-volatile memories
-
WU, X., LI, J., ZHANG, L., SPEIGHT, E., AND XIE, Y. 2009. Power and performance of read-write aware hybrid caches with non-volatile memories. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09). 737-742.
-
(2009)
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09)
, pp. 737-742
-
-
Zhang, L.1
Speight, E.2
Xie, Y.3
-
58
-
-
21244464284
-
Ge2sb2te5 confined structures and integration of 64mb phase-change random access memory
-
YEUNG, F. AND et al. 2005. ge2sb2te5 confined structures and integration of 64mb phase-change random access memory. Japanese Journal of Applied Physics, 2691-2695.
-
(2005)
Japanese Journal of Applied Physics
, pp. 2691-2695
-
-
Yeung, F.1
-
60
-
-
76349088483
-
Energy reduction for stt-ram using early write termination
-
ZHOU, P., ZHANG, B., YANG, J., AND ZHANG, Y. 2009. Energy reduction for stt-ram using early write termination. In Proceedings of the IEEE/ACM 2009 International Conference on Computer-Aided Design (ICCAD'09). 264-268.
-
(2009)
Proceedings of the IEEE/ACM 2009 International Conference on Computer-Aided Design (ICCAD'09)
, pp. 264-268
-
-
Zhou, P.1
Zhang, B.2
Yang, J.3
Zhang, Y.4
-
61
-
-
70450277571
-
A durable and energy efficient main memory using phase change memory technology
-
ZHOU, P., ZHAO, B., YANG, J., AND ZHANG, Y. 2009. A durable and energy efficient main memory using phase change memory technology. In Proceedings of the 36th International Symposium on Computer Architecture (ISCA'09). 14-23.
-
(2009)
Proceedings of the 36th International Symposium on Computer Architecture (ISCA'09)
, pp. 14-23
-
-
Zhou, P.1
Zhao, B.2
Yang, J.3
Zhang, Y.4
-
62
-
-
0009800943
-
-
Tech. rep., Aachen Univeristy, Aachen, Germany
-
ZIVOJNOVIC, V., MARTINEZ, J., SCHLAGER, C., AND MEYR, H. 1994. Dspstone: A dsp-oriented benchmarking methodology. Tech. rep., Aachen Univeristy, Aachen, Germany.
-
(1994)
Dspstone: A Dsp-oriented Benchmarking Methodology
-
-
Zivojnovic, V.1
Martinez, J.2
Schlager, C.3
Meyr, H.4
|