메뉴 건너뛰기




Volumn 41, Issue 3, 2008, Pages 319-339

Variability in nanometer CMOS: Impact, analysis, and minimization

Author keywords

[No Author keywords available]

Indexed keywords

COMPUTER AIDED DESIGN; INTEGRATED CIRCUIT MANUFACTURE; LITHOGRAPHY; NETWORKS (CIRCUITS); OPTIMIZATION;

EID: 43149107913     PISSN: 01679260     EISSN: None     Source Type: Journal    
DOI: 10.1016/j.vlsi.2007.09.001     Document Type: Article
Times cited : (59)

References (60)
  • 1
    • 43149114686 scopus 로고    scopus 로고
    • International Technology Roadmap for Semiconductors, 〈www.itrs.net〉, 2007.
    • International Technology Roadmap for Semiconductors, 〈www.itrs.net〉, 2007.
  • 2
    • 43149085042 scopus 로고    scopus 로고
    • S. Narendra, Leakage issues in IC design: trends, estimation, and avoidance, in: ACM/IEEE International Conference on Computer-Aided Design, 2003 (full-day tutorial).
    • S. Narendra, Leakage issues in IC design: trends, estimation, and avoidance, in: ACM/IEEE International Conference on Computer-Aided Design, 2003 (full-day tutorial).
  • 3
    • 0041633858 scopus 로고    scopus 로고
    • S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De, Parameter variation and impact on circuit and micro-architecture, in: ACM/IEEE Design Automation Conference, 2003, pp. 338-342.
    • S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De, Parameter variation and impact on circuit and micro-architecture, in: ACM/IEEE Design Automation Conference, 2003, pp. 338-342.
  • 4
    • 84886705903 scopus 로고    scopus 로고
    • R.M. Rao, K. Agarwal, A. Devgan, K. Nowka, D. Sylvester, R. Brown, Parametric yield analysis and constrained-based supply voltage optimization, in: ACM/IEEE International Symposium on Quality Electronic Design, 2005, pp. 284-290.
    • R.M. Rao, K. Agarwal, A. Devgan, K. Nowka, D. Sylvester, R. Brown, Parametric yield analysis and constrained-based supply voltage optimization, in: ACM/IEEE International Symposium on Quality Electronic Design, 2005, pp. 284-290.
  • 5
    • 0028571338 scopus 로고    scopus 로고
    • D. Burnett, K. Erington, C. Subramanian, K. Baker, Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits, in: IEEE Symposium on VLSI Technology, 1994, pp. 15-16.
    • D. Burnett, K. Erington, C. Subramanian, K. Baker, Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits, in: IEEE Symposium on VLSI Technology, 1994, pp. 15-16.
  • 6
    • 17644391110 scopus 로고    scopus 로고
    • B. Cheng, S. Roy, A. Asenov, The impact of random doping effects on CMOS SRAM cell, in: IEEE European Solid State Circuits Conference, 2004, pp. 219-222.
    • B. Cheng, S. Roy, A. Asenov, The impact of random doping effects on CMOS SRAM cell, in: IEEE European Solid State Circuits Conference, 2004, pp. 219-222.
  • 8
    • 0028548950 scopus 로고
    • Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFETs
    • Mizuno T., Okamura J., and Toriumi A. Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFETs. IEEE Trans. Electron Devices (1994) 2216-2221
    • (1994) IEEE Trans. Electron Devices , pp. 2216-2221
    • Mizuno, T.1    Okamura, J.2    Toriumi, A.3
  • 9
    • 0022891057 scopus 로고
    • Characterization and modeling of mismatch in MOS transistors for precision analog design
    • Lakshmikumar K., Hadaway R., and Copeland M.A. Characterization and modeling of mismatch in MOS transistors for precision analog design. J. Solid State Circuits (1986) 1057-1066
    • (1986) J. Solid State Circuits , pp. 1057-1066
    • Lakshmikumar, K.1    Hadaway, R.2    Copeland, M.A.3
  • 10
    • 16244371339 scopus 로고    scopus 로고
    • R. Heald, P. Wang, Variability in sub-100 nm SRAM designs, in: ACM/IEEE International Conference on Computer-Aided Design, 2004, pp. 347-352.
    • R. Heald, P. Wang, Variability in sub-100 nm SRAM designs, in: ACM/IEEE International Conference on Computer-Aided Design, 2004, pp. 347-352.
  • 11
    • 27944464454 scopus 로고    scopus 로고
    • A. Srivastava, S. Shah, K. Agarwal, D. Sylvester, D. Blaauw, S. Director, Accurate and efficient gate-level parametric yield estimation considering power/performance correlation, in: ACM/IEEE Design Automation Conference, 2005, pp. 535-540.
    • A. Srivastava, S. Shah, K. Agarwal, D. Sylvester, D. Blaauw, S. Director, Accurate and efficient gate-level parametric yield estimation considering power/performance correlation, in: ACM/IEEE Design Automation Conference, 2005, pp. 535-540.
  • 12
    • 0346778721 scopus 로고    scopus 로고
    • H. Chang, S.S. Sapatnekar, Statistical timing analysis considering spatial correlations using a single PERT-like traversal, in: ACM/IEEE International Conference on Computer-Aided Design, 2003, pp. 621-625.
    • H. Chang, S.S. Sapatnekar, Statistical timing analysis considering spatial correlations using a single PERT-like traversal, in: ACM/IEEE International Conference on Computer-Aided Design, 2003, pp. 621-625.
  • 13
    • 4444233012 scopus 로고    scopus 로고
    • C. Visweswariah, K. Ravindran, K. Kalafala, S. Walker, S. Narayan, First-order incremental block-based statistical timing analysis, in: ACM/IEEE Design Automation Conference, 2004, pp. 331-336.
    • C. Visweswariah, K. Ravindran, K. Kalafala, S. Walker, S. Narayan, First-order incremental block-based statistical timing analysis, in: ACM/IEEE Design Automation Conference, 2004, pp. 331-336.
  • 14
    • 0002302582 scopus 로고    scopus 로고
    • A new statistical static timing analyzer considering correlation between delays
    • Tsukiyama S., Tanaka M., and Fukui M. A new statistical static timing analyzer considering correlation between delays. TAU (2002) 27-33
    • (2002) TAU , pp. 27-33
    • Tsukiyama, S.1    Tanaka, M.2    Fukui, M.3
  • 15
    • 0001310038 scopus 로고
    • The greatest of a finite set of random variables
    • Clark C. The greatest of a finite set of random variables. Oper. Res. 9 (1961) 85-91
    • (1961) Oper. Res. , vol.9 , pp. 85-91
    • Clark, C.1
  • 16
    • 0028375822 scopus 로고
    • Outage probabilities in the presence of correlated lognormal interferers
    • Abu-Dayya A., and Beaulieu N. Outage probabilities in the presence of correlated lognormal interferers. IEEE Trans. Veh. Technol. (1994) 164-173
    • (1994) IEEE Trans. Veh. Technol. , pp. 164-173
    • Abu-Dayya, A.1    Beaulieu, N.2
  • 17
    • 0020180746 scopus 로고
    • On the distribution function and moments of power sums with lognormal components
    • Schwartz S.C., and Yeh Y.S. On the distribution function and moments of power sums with lognormal components. Bell Syst. Tech. J. 61 (1982) 1441-1462
    • (1982) Bell Syst. Tech. J. , vol.61 , pp. 1441-1462
    • Schwartz, S.C.1    Yeh, Y.S.2
  • 18
    • 4444351567 scopus 로고    scopus 로고
    • R.R. Rao, A. Devgan, D. Blaauw, D. Sylvester, Parametric yield estimation considering leakage variability, in: ACM/IEEE Design Automation Conference, 2004, pp. 442-447.
    • R.R. Rao, A. Devgan, D. Blaauw, D. Sylvester, Parametric yield estimation considering leakage variability, in: ACM/IEEE Design Automation Conference, 2004, pp. 442-447.
  • 19
    • 43149119735 scopus 로고    scopus 로고
    • F. Brglez, H. Fujiwara, A neutral net list of 10 combinational benchmark circuits and a target translator in Fortran, in: International Symposium on Circuits and Systems, 1989, pp. 695-698
    • F. Brglez, H. Fujiwara, A neutral net list of 10 combinational benchmark circuits and a target translator in Fortran, in: International Symposium on Circuits and Systems, 1989, pp. 695-698
  • 20
    • 0033699258 scopus 로고    scopus 로고
    • Y. Liu, S. Nassif, L. Pileggi, A. Strojwas, Impact of interconnect variations on the clock skew of a gigahertz microprocessor, in: ACM/IEEE Design Automation Conference, 2000, pp. 168-171.
    • Y. Liu, S. Nassif, L. Pileggi, A. Strojwas, Impact of interconnect variations on the clock skew of a gigahertz microprocessor, in: ACM/IEEE Design Automation Conference, 2000, pp. 168-171.
  • 21
    • 0032272981 scopus 로고    scopus 로고
    • V. Mehrotra, S. Nassif, D. Boning, J. Chung, Modeling the effects of manufacturing variation on high-speed microprocessor interconnect performance, in:IEEE Electron Devices Meetings, 1998, pp. 767-770.
    • V. Mehrotra, S. Nassif, D. Boning, J. Chung, Modeling the effects of manufacturing variation on high-speed microprocessor interconnect performance, in:IEEE Electron Devices Meetings, 1998, pp. 767-770.
  • 23
    • 0026175402 scopus 로고    scopus 로고
    • C.L. Ratzlaff, N. Gopal, L.T. Pillage, RICE: rapid interconnect circuit evaluator, in: ACM/IEEE Design Automation Conference, 1991, pp. 555-560.
    • C.L. Ratzlaff, N. Gopal, L.T. Pillage, RICE: rapid interconnect circuit evaluator, in: ACM/IEEE Design Automation Conference, 1991, pp. 555-560.
  • 24
    • 0033701751 scopus 로고    scopus 로고
    • C.J. Alpert, A. Devgan, C. Kashyap, A two moment RC delay metric for performance optimization, in: International Symposium on Physical Design, 2000, pp. 69-74.
    • C.J. Alpert, A. Devgan, C. Kashyap, A two moment RC delay metric for performance optimization, in: International Symposium on Physical Design, 2000, pp. 69-74.
  • 25
    • 33745798166 scopus 로고    scopus 로고
    • P. Gupta, A.B. Kahng, Y. Kim, S. Shah, D. Sylvester, Modeling of non-uniform device geometries for post-lithography circuit analysis, in: Proceedings of the SPIE Design and Process Integration for Microelectronic Manufacturing V, 2006.
    • P. Gupta, A.B. Kahng, Y. Kim, S. Shah, D. Sylvester, Modeling of non-uniform device geometries for post-lithography circuit analysis, in: Proceedings of the SPIE Design and Process Integration for Microelectronic Manufacturing V, 2006.
  • 26
    • 0036030215 scopus 로고    scopus 로고
    • A.P. Balasinski, L. Karklin, V. Axelrad, Impact of subwavelength CD tolerance on device performance, in: Proceedings of the SPIE Conference on Design, Process Integration, and Characterization for Microelectronics, 2002, pp. 361-368.
    • A.P. Balasinski, L. Karklin, V. Axelrad, Impact of subwavelength CD tolerance on device performance, in: Proceedings of the SPIE Conference on Design, Process Integration, and Characterization for Microelectronics, 2002, pp. 361-368.
  • 27
    • 0242609813 scopus 로고    scopus 로고
    • R.C. Pack, V. Axelrad, A. Shibkov, V.V. Boksha, J.A. Huckabay, R. Salik, W. Staud, R. Wang, W.D. Grobman, Physical and timing verification of subwavelength-scale designs: I. Lithography impact on MOSFETs, in: Proceedings of the SPIE Conference on Design and Process Integration for Microelectronic Manufacturing, 2003, pp. 51-62.
    • R.C. Pack, V. Axelrad, A. Shibkov, V.V. Boksha, J.A. Huckabay, R. Salik, W. Staud, R. Wang, W.D. Grobman, Physical and timing verification of subwavelength-scale designs: I. Lithography impact on MOSFETs, in: Proceedings of the SPIE Conference on Design and Process Integration for Microelectronic Manufacturing, 2003, pp. 51-62.
  • 28
    • 25144515392 scopus 로고    scopus 로고
    • F.-L. Heng, J.-F. Lee, P. Gupta, Toward through-process layout quality metrics, in: Proceedings of the SPIE Conference on Design and Process Integration for Microelectronic Manufacturing III, 2005, pp. 161-167.
    • F.-L. Heng, J.-F. Lee, P. Gupta, Toward through-process layout quality metrics, in: Proceedings of the SPIE Conference on Design and Process Integration for Microelectronic Manufacturing III, 2005, pp. 161-167.
  • 29
    • 28744448759 scopus 로고    scopus 로고
    • I. Polishchuk, N. Mathur, C. Sandstrom, P. Manos, O. Pohland, CMOS Vt-control improvement through implant lateral scatter elimination, in: IEEE International Symposium on Semiconductor Manufacturing, 2005, pp. 193-196.
    • I. Polishchuk, N. Mathur, C. Sandstrom, P. Manos, O. Pohland, CMOS Vt-control improvement through implant lateral scatter elimination, in: IEEE International Symposium on Semiconductor Manufacturing, 2005, pp. 193-196.
  • 30
    • 0023983720 scopus 로고
    • Inverse-narrow-width effects and small-geometry MOSFET threshold voltage model
    • Hsueh K.K.-L., Sanchez J.J., Demassa T.A., and Akers L.A. Inverse-narrow-width effects and small-geometry MOSFET threshold voltage model. IEEE Trans. Electron Dev. 35 3 (1988) 325-338
    • (1988) IEEE Trans. Electron Dev. , vol.35 , Issue.3 , pp. 325-338
    • Hsueh, K.K.-L.1    Sanchez, J.J.2    Demassa, T.A.3    Akers, L.A.4
  • 31
    • 33745794247 scopus 로고    scopus 로고
    • P. Gupta, et al., Lithography simulation-based full-chip design analysis, in: Proceedings of the SPIE Design and Process Integration for Microelectronic Manufacturing V, 2006.
    • P. Gupta, et al., Lithography simulation-based full-chip design analysis, in: Proceedings of the SPIE Design and Process Integration for Microelectronic Manufacturing V, 2006.
  • 32
    • 0030285492 scopus 로고    scopus 로고
    • 2 2-D discrete cosine transform core processor with variable threshold-voltage scheme
    • 2 2-D discrete cosine transform core processor with variable threshold-voltage scheme,. IEEE J. Solid State Circuits 31 (1996) 1770-1779
    • (1996) IEEE J. Solid State Circuits , vol.31 , pp. 1770-1779
    • Kuroda, T.1
  • 33
    • 0036474788 scopus 로고    scopus 로고
    • A 1.2-GIPS/W microprocessor using speed-adaptive threshold-voltage CMOS with forward bias
    • Miyazaki M., Ono G., Hattori T., and Ishibashi K.A. A 1.2-GIPS/W microprocessor using speed-adaptive threshold-voltage CMOS with forward bias. IEEE J. Solid State Circuits 37 (2002) 210-216
    • (2002) IEEE J. Solid State Circuits , vol.37 , pp. 210-216
    • Miyazaki, M.1    Ono, G.2    Hattori, T.3    Ishibashi, K.A.4
  • 34
    • 0031623211 scopus 로고    scopus 로고
    • M. Miyazaki, H. Mizuno, K. Ishibashi, A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSls, in: ACM/IEEE International Symposium on Low Power Electronic Design, August 1998, pp. 48-53.
    • M. Miyazaki, H. Mizuno, K. Ishibashi, A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSls, in: ACM/IEEE International Symposium on Low Power Electronic Design, August 1998, pp. 48-53.
  • 35
    • 0036858210 scopus 로고    scopus 로고
    • Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage
    • Tschanz J., et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. J. Solid State Circuits 37 (2002) 1396-1401
    • (2002) J. Solid State Circuits , vol.37 , pp. 1396-1401
    • Tschanz, J.1
  • 36
    • 0033362489 scopus 로고    scopus 로고
    • S. Narendra, D. Antoniadis, V. De, Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation, in: ACM/IEEE International Symposium on Low Power Electronic Design, 1999, pp. 229-232.
    • S. Narendra, D. Antoniadis, V. De, Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation, in: ACM/IEEE International Symposium on Low Power Electronic Design, 1999, pp. 229-232.
  • 37
    • 0034878684 scopus 로고    scopus 로고
    • A. Keshavarzi, et al., Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs, in: ACM/IEEE International Symposium on Low Power Electronic Design, 2001, pp. 207-212.
    • A. Keshavarzi, et al., Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs, in: ACM/IEEE International Symposium on Low Power Electronic Design, 2001, pp. 207-212.
  • 38
    • 84907893460 scopus 로고    scopus 로고
    • A.H. Montree, et al., Limitations to adaptive back bias approach for standby power reduction in deep sub-micron CMOS, in: IEEE European Solid State Devices Conference, September 1999, pp. 580-583.
    • A.H. Montree, et al., Limitations to adaptive back bias approach for standby power reduction in deep sub-micron CMOS, in: IEEE European Solid State Devices Conference, September 1999, pp. 580-583.
  • 39
    • 0032049972 scopus 로고    scopus 로고
    • Back-gate bias enhanced band-to-band tunneling leakage in scaled MOSFET's
    • Chen M.-J., et al. Back-gate bias enhanced band-to-band tunneling leakage in scaled MOSFET's. IEEE Electron Device Lett. 19 (1998) 134-136
    • (1998) IEEE Electron Device Lett. , vol.19 , pp. 134-136
    • Chen, M.-J.1
  • 41
    • 16244388940 scopus 로고    scopus 로고
    • M. Elgebaly, M. Sachdev, Efficient adaptive voltage scaling system through critical path emulation, in: ACM/IEEE International Symposium on Low Power Electronic Design, 2004, pp. 375-380.
    • M. Elgebaly, M. Sachdev, Efficient adaptive voltage scaling system through critical path emulation, in: ACM/IEEE International Symposium on Low Power Electronic Design, 2004, pp. 375-380.
  • 42
    • 0142196052 scopus 로고    scopus 로고
    • Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation
    • Chen T., and Naffziger S. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation. IEEE Trans. VLSI Syst. 11 (2003) 888-898
    • (2003) IEEE Trans. VLSI Syst. , vol.11 , pp. 888-898
    • Chen, T.1    Naffziger, S.2
  • 43
    • 33644640188 scopus 로고    scopus 로고
    • L. Chang, et al., Stable SRAM cell design for the 32 nm node and beyond, in: IEEE Symposium on VLSI Technology, 2005, pp. 128-129.
    • L. Chang, et al., Stable SRAM cell design for the 32 nm node and beyond, in: IEEE Symposium on VLSI Technology, 2005, pp. 128-129.
  • 44
    • 84944408150 scopus 로고    scopus 로고
    • D. Ernst, et al., Razor: a low-power pipeline based on circuit-level timing speculation, in: International Symposium on Microarchitecture, 2003, pp. 7-18.
    • D. Ernst, et al., Razor: a low-power pipeline based on circuit-level timing speculation, in: International Symposium on Microarchitecture, 2003, pp. 7-18.
  • 45
    • 33645652998 scopus 로고    scopus 로고
    • A self-tuning DVS processor using delay-error detection and correction
    • Das S., et al. A self-tuning DVS processor using delay-error detection and correction. IEEE J. Solid State Circuits 41 (2006) 792-804
    • (2006) IEEE J. Solid State Circuits , vol.41 , pp. 792-804
    • Das, S.1
  • 46
    • 67649120062 scopus 로고    scopus 로고
    • E. Karl, D. Sylvester, D. Blaauw, Timing error correction techniques for voltage scalable on-chip memories, in: IEEE International Symposium on Circuits and Systems, 2005, pp. 3563-3566.
    • E. Karl, D. Sylvester, D. Blaauw, Timing error correction techniques for voltage scalable on-chip memories, in: IEEE International Symposium on Circuits and Systems, 2005, pp. 3563-3566.
  • 47
    • 4444245930 scopus 로고    scopus 로고
    • P. Gupta, A.B. Kahng, P. Sharma, D. Sylvester, Selective gate-length biasing for cost-effective runtime leakage control, in: ACM/IEEE Design Automation Conference, 2004, pp. 327-330.
    • P. Gupta, A.B. Kahng, P. Sharma, D. Sylvester, Selective gate-length biasing for cost-effective runtime leakage control, in: ACM/IEEE Design Automation Conference, 2004, pp. 327-330.
  • 48
    • 85165865225 scopus 로고    scopus 로고
    • S. Shah, P. Gupta, A.B. Kahng, Standard-cell library optimization for leakage reduction, in: ACM/IEEE Design Automation Conference, 2006, pp. 983-986.
    • S. Shah, P. Gupta, A.B. Kahng, Standard-cell library optimization for leakage reduction, in: ACM/IEEE Design Automation Conference, 2006, pp. 983-986.
  • 49
    • 0348040110 scopus 로고    scopus 로고
    • A. Devgan, C. Kashyap, Block-based static timing analysis with uncertainty, in: ACM/IEEE International Conference on Computer-Aided Design, 2003, pp. 607-614.
    • A. Devgan, C. Kashyap, Block-based static timing analysis with uncertainty, in: ACM/IEEE International Conference on Computer-Aided Design, 2003, pp. 607-614.
  • 50
    • 30844463472 scopus 로고    scopus 로고
    • H. Deogun, R.M. Rao, D. Sylvester, K. Nowka, Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength, in: IEEE System-on-Chip Conference, 2005, pp. 147-150.
    • H. Deogun, R.M. Rao, D. Sylvester, K. Nowka, Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength, in: IEEE System-on-Chip Conference, 2005, pp. 147-150.
  • 51
    • 31144433907 scopus 로고    scopus 로고
    • Variable tapered pareto buffer design and implementation allowing runtime configuration for low-power embedded SRAMs
    • Wang H., Miranda M., Papanikolaou A., Catthoor F., and Dehaene W. Variable tapered pareto buffer design and implementation allowing runtime configuration for low-power embedded SRAMs. IEEE Trans. VLSI Syst. (2005) 1127-1135
    • (2005) IEEE Trans. VLSI Syst. , pp. 1127-1135
    • Wang, H.1    Miranda, M.2    Papanikolaou, A.3    Catthoor, F.4    Dehaene, W.5
  • 52
    • 0041340533 scopus 로고    scopus 로고
    • Negative bias temperature instability: road to cross in deep submicron silicon semiconductor manufacturing
    • Schroder D., and Babcock J. Negative bias temperature instability: road to cross in deep submicron silicon semiconductor manufacturing. J. Appl. Phys. (2003) 1-18
    • (2003) J. Appl. Phys. , pp. 1-18
    • Schroder, D.1    Babcock, J.2
  • 53
    • 34247891689 scopus 로고    scopus 로고
    • Negative bias temperature instability: what do we understand?
    • Schroder D. Negative bias temperature instability: what do we understand?. Microelectron. Reliab. (2007) 841-852
    • (2007) Microelectron. Reliab. , pp. 841-852
    • Schroder, D.1
  • 54
    • 33846086652 scopus 로고    scopus 로고
    • J.M. Rabaey, Design at the end of the silicon roadmap, in: ACM/IEEE Asia and South Pacific Design Automation Conference, vol. 1, 2005, pp. 18-21.
    • J.M. Rabaey, Design at the end of the silicon roadmap, in: ACM/IEEE Asia and South Pacific Design Automation Conference, vol. 1, 2005, pp. 18-21.
  • 55
    • 33846118079 scopus 로고    scopus 로고
    • S. Borkar, Designing reliable systems with unreliable components: the challenges of transistor variability and degradation, in: IEEE Micro, November/December 2005, pp. 10-16.
    • S. Borkar, Designing reliable systems with unreliable components: the challenges of transistor variability and degradation, in: IEEE Micro, November/December 2005, pp. 10-16.
  • 56
    • 33846044638 scopus 로고    scopus 로고
    • ElastIC: an adaptive self-healing architecture for unpredictable silicon
    • Sylvester D., Blaauw D., and Karl E. ElastIC: an adaptive self-healing architecture for unpredictable silicon. IEEE Des. Test (2006) 484-490
    • (2006) IEEE Des. Test , pp. 484-490
    • Sylvester, D.1    Blaauw, D.2    Karl, E.3
  • 57
    • 33846472591 scopus 로고    scopus 로고
    • S. Shyam, K. Constantinides, S. Phadke, V. Bertacco, T. Austin, Ultra low-cost defect protection for microprocessor pipelines, in: International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2006, pp. 73-82.
    • S. Shyam, K. Constantinides, S. Phadke, V. Bertacco, T. Austin, Ultra low-cost defect protection for microprocessor pipelines, in: International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2006, pp. 73-82.
  • 58
    • 27944510181 scopus 로고    scopus 로고
    • J. Mitra, P. Yu, D.Z. Pan, RADAR: RET-aware detailed routing using fast lithography simulations, in: ACM/IEEE Design Automation Conference, 2005, pp. 369-372.
    • J. Mitra, P. Yu, D.Z. Pan, RADAR: RET-aware detailed routing using fast lithography simulations, in: ACM/IEEE Design Automation Conference, 2005, pp. 369-372.
  • 59
    • 33751399217 scopus 로고    scopus 로고
    • C. Chiang, A.B. Kahng, S. Sinha, X. Xu, Fast and efficient phase conflict detection and correction in standard-cell layouts, in: ACM/IEEE International Conference on Computer-Aided Design, 2005, pp. 149-156.
    • C. Chiang, A.B. Kahng, S. Sinha, X. Xu, Fast and efficient phase conflict detection and correction in standard-cell layouts, in: ACM/IEEE International Conference on Computer-Aided Design, 2005, pp. 149-156.
  • 60
    • 27944483718 scopus 로고    scopus 로고
    • J. Yang, L. Capodieci, D. Sylvester, Advanced timing analysis based on post-OPC extraction of critical dimensions, in: ACM/IEEE Design Automation Conference, 2005, pp. 359-364.
    • J. Yang, L. Capodieci, D. Sylvester, Advanced timing analysis based on post-OPC extraction of critical dimensions, in: ACM/IEEE Design Automation Conference, 2005, pp. 359-364.


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.