-
2
-
-
84872094294
-
An optimal memory allocation scheme for scratch-pad-based embedded systems
-
O. Avissar, R. Barua, and D. Stewart. 2002. An optimal memory allocation scheme for scratch-pad-based embedded systems. ACM Trans. Embed. Comput. Syst. 1, 1, 6-26.
-
(2002)
ACM Trans. Embed. Comput. Syst.
, vol.1
, Issue.1
, pp. 6-26
-
-
Avissar, O.1
Barua, R.2
Stewart, D.3
-
3
-
-
0036045884
-
Scratchpad memory: Design alternative for cache on-chip memory in embedded systems
-
R. Banakar, S. Steinke, B.-S. Lee, M. Balakrishnan, and P. Marwedel. 2002. Scratchpad memory: design alternative for cache on-chip memory in embedded systems. In Proceedings of the International Workshop on Hardware/Software Codesign (CODES'02). 73-78.
-
(2002)
Proceedings of the International Workshop on Hardware/Software Codesign (CODES'02)
, pp. 73-78
-
-
Banakar, R.1
Steinke, S.2
Lee, B.-S.3
Balakrishnan, M.4
Marwedel, P.5
-
5
-
-
33846535493
-
Them5 simulator: Modeling networked systems
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. 2006. Them5 simulator: Modeling networked systems. IEEE Micro 26, 52-60.
-
(2006)
IEEE Micro
, vol.26
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
7
-
-
77953118185
-
A nondestructive self-reference scheme for spin-transfer torque random access memory (stt-ram)
-
Y. Chen, H. Li, X. Wang, W. Zhu, W. Xu, and T. Zhang. 2010. A nondestructive self-reference scheme for spin-transfer torque random access memory (stt-ram). In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'10). 148-153.
-
(2010)
Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'10)
, pp. 148-153
-
-
Chen, Y.1
Li, H.2
Wang, X.3
Zhu, W.4
Xu, W.5
Zhang, T.6
-
11
-
-
33746039960
-
Heap data allocation to scratch-pad memory in embedded systems
-
A. Dominguez, S. Udayakumaran, and R. Barua. 2005. Heap data allocation to scratch-pad memory in embedded systems. J. Embed. Comput. 1, 4, 521-540.
-
(2005)
J. Embed. Comput.
, vol.1
, Issue.4
, pp. 521-540
-
-
Dominguez, A.1
Udayakumaran, S.2
Barua, R.3
-
12
-
-
76349091566
-
Pcramsim: System-level performance, energy, and area modeling for phase-change ram
-
X. Dong, N. P. Jouppi, and Y. Xie. 2009. Pcramsim: System-level performance, energy, and area modeling for phase-change ram. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'09). 269-275.
-
(2009)
Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'09)
, pp. 269-275
-
-
Dong, X.1
Jouppi, N.P.2
Xie, Y.3
-
13
-
-
51549109199
-
Circuit and microarchitecture evaluation of 3D stacking magnetic ram (mram) as a universal memory replacement
-
X. Dong, X. Wu, G. Sun, Y. Xie, H. Li, and Y. Chen. 2008. Circuit and microarchitecture evaluation of 3D stacking magnetic ram (mram) as a universal memory replacement. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'08). 554-559.
-
(2008)
Proceedings of the IEEE/ACM Design Automation Conference (DAC'08)
, pp. 554-559
-
-
Dong, X.1
Wu, X.2
Sun, G.3
Xie, Y.4
Li, H.5
Chen, Y.6
-
14
-
-
84879691428
-
Efficient loop scheduling for chip-multiprocessors with non-volatile main memory
-
J. Du, Y. Wang, Q. Zhuge, J. Hu, and E. H.-M. Sha. 2013. Efficient loop scheduling for chip-multiprocessors with non-volatile main memory. J. Signal Proces. Syst., 1-13.
-
(2013)
J. Signal Proces. Syst.
, pp. 1-13
-
-
Du, J.1
Wang, Y.2
Zhuge, Q.3
Hu, J.4
Sha, E.H.-M.5
-
15
-
-
77953091093
-
Increasing pcm main memory lifetime
-
A. P. Ferreira, M. Zhou, S. Bock, B. Childers, R. Melhem, and D. Mossé. 2010. Increasing pcm main memory lifetime. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'10). 914-919.
-
(2010)
Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'10)
, pp. 914-919
-
-
Ferreira, A.P.1
Zhou, M.2
Bock, S.3
Childers, B.4
Melhem, R.5
Mossé, D.6
-
16
-
-
80155187624
-
Optimal data allocation for scratch-pad memory on embedded multi-core systems
-
Y. Guo, Q. Zhuge, J. Hu, M. Qiu, and E.-M. Sha. 2011. Optimal data allocation for scratch-pad memory on embedded multi-core systems. In Proceedings of the International Conference on Parallel Processing (ICPP'11). 464-471.
-
(2011)
Proceedings of the International Conference on Parallel Processing (ICPP'11)
, pp. 464-471
-
-
Guo, Y.1
Zhuge, Q.2
Hu, J.3
Qiu, M.4
Sha, E.-M.5
-
18
-
-
79953103086
-
Write activity minimization for non-volatile main memory via scheduling and recomputation
-
J. Hu, W.-C. Tseng, C. J. Xue, Q. Zhuge, Y. Zhao, and E. H.-M. Sha. 2011. Write activity minimization for non-volatile main memory via scheduling and recomputation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30, 4, 584-592.
-
(2011)
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.
, vol.30
, Issue.4
, pp. 584-592
-
-
Hu, J.1
Tseng, W.-C.2
Xue, C.J.3
Zhuge, Q.4
Zhao, Y.5
Sha, E.H.-M.6
-
19
-
-
77956207016
-
Reducing write activities on non-volatile memories in embedded cmps via data migration and recomputation
-
J. Hu, C. J. Xue,W.-C. Tseng, Y. He,M. Qiu, and E. H.-M. Sha. 2010a. Reducing write activities on non-volatile memories in embedded cmps via data migration and recomputation. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'10). 350-355.
-
(2010)
Proceedings of the IEEE/ACM Design Automation Conference (DAC'10)
, pp. 350-355
-
-
Hu, J.1
Xuew.-C. Tseng, C.J.2
Hem. Qiu, Y.3
Sha, E.H.-M.4
-
20
-
-
77955734495
-
Minimizing write activities to non-volatile memory via scheduling and recomputation
-
J. Hu, C. J. Xue, W.-C. Tseng, Q. Zhuge, and E. H.-M. Sha. 2010b. Minimizing write activities to non-volatile memory via scheduling and recomputation. In Proceedings of the IEEE 8th Symposium on Application Specific Processors (SASP'10). 7-12.
-
(2010)
Proceedings of the IEEE 8th Symposium on Application Specific Processors (SASP'10)
, pp. 7-12
-
-
Hu, J.1
Xue, C.J.2
Tseng, W.-C.3
Zhuge, Q.4
Sha, E.H.-M.5
-
21
-
-
79957545701
-
Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory
-
J. Hu, C. J. Xue, Q. Zhuge, W.-C. Tseng, and E. H.-M. Sha. 2011. Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'11). 1-6.
-
(2011)
Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'11)
, pp. 1-6
-
-
Hu, J.1
Xue, C.J.2
Zhuge, Q.3
Tseng, W.-C.4
Sha, E.H.-M.5
-
22
-
-
84878319935
-
Data allocation optimization for hybrid scratch pad memory with SRAM and non-volatile memory
-
J. Hu, C. J. Xue, Q. Zhuge, W.-C. Tseng, and E. H.-M. Sha. 2012a. Data allocation optimization for hybrid scratch pad memory with sram and non-volatile memory. IEEE Trans. VLSI Syst., 1-9.
-
(2012)
IEEE Trans. VLSI Syst.
, pp. 1-9
-
-
Hu, J.1
Xue, C.J.2
Zhuge, Q.3
Tseng, W.-C.4
Sha, E.H.-M.5
-
23
-
-
84878513350
-
Write activity reduction on non-volatile main memories for embedded chip multi-processors
-
J. Hu, C. J. Xue, Q. Zhuge, W.-C. Tseng, and E. H.-M. Sha. 2012b. Write activity reduction on non-volatile main memories for embedded chip multi-processors. ACM Trans. Embed. Comput. Syst. 12, 3, 1-25.
-
(2012)
ACM Trans. Embed. Comput. Syst.
, vol.12
, Issue.3
, pp. 1-25
-
-
Hu, J.1
Xue, C.J.2
Zhuge, Q.3
Tseng, W.-C.4
Sha, E.H.-M.5
-
26
-
-
80051926375
-
Lls: Cooperative integration of wear-leveling and salvaging for pcm main memory
-
L. Jiang, Y. Du, Y. Zhang, B. Childers, and J. Yang. 2011. Lls: Cooperative integration of wear-leveling and salvaging for pcm main memory. In Proceedings of the International Conference on Dependable Systems and Networks (DSN'11). 221-232.
-
(2011)
Proceedings of the International Conference on Dependable Systems and Networks (DSN'11)
, pp. 221-232
-
-
Jiang, L.1
Du, Y.2
Zhang, Y.3
Childers, B.4
Yang, J.5
-
27
-
-
77953117822
-
Energy- and endurance-aware design of phase change memory caches
-
Y. Joo, D. Niu, X. Dong, G. Sun, N. Chang, and Y. Xie. 2010. Energy- and endurance-aware design of phase change memory caches. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'10). 136-141.
-
(2010)
Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'10)
, pp. 136-141
-
-
Joo, Y.1
Niu, D.2
Dong, X.3
Sun, G.4
Chang, N.5
Xie, Y.6
-
29
-
-
31144441199
-
Compiler-guided leakage optimization for banked scratch-pad memories
-
M. Kandemir, M. J. Irwin, G. Chen, and I. Kolcu. 2005. Compiler-guided leakage optimization for banked scratch-pad memories. IEEE Trans. VLSI Syst. 13, 10, 1136-1146.
-
(2005)
IEEE Trans. VLSI Syst.
, vol.13
, Issue.10
, pp. 1136-1146
-
-
Kandemir, M.1
Irwin, M.J.2
Chen, G.3
Kolcu, I.4
-
32
-
-
84865564194
-
Mac: Migration-aware compilation for stt-ram based hybrid cache in embedded systems
-
Q. Li, J. Li, L. Shi, C. J. Xue, and Y. He. 2012. Mac: migration-aware compilation for stt-ram based hybrid cache in embedded systems. In Proceedings of the International Symposium on Low-Power Electronics and Design (ISLPED'12). 351-356.
-
(2012)
Proceedings of the International Symposium on Low-Power Electronics and Design (ISLPED'12)
, pp. 351-356
-
-
Li, Q.1
Li, J.2
Shi, L.3
Xue, C.J.4
He, Y.5
-
33
-
-
84871105998
-
Mgc: Multiple graph-coloring for non-volatile memory based hybrid scratchpad memory
-
Q. Li, Y. Zhao, J. Hu, C. J. Xue, E. H.-M. Sha, and Y. He. 2012. Mgc: Multiple graph-coloring for non-volatile memory based hybrid scratchpad memory. In Proceedings of the 16th Workshop on Interaction between Compilers and Computer Architectures. 17-24.
-
(2012)
Proceedings of the 16th Workshop on Interaction between Compilers and Computer Architectures
, pp. 17-24
-
-
Li, Q.1
Zhao, Y.2
Hu, J.3
Xue, C.J.4
Sha, E.H.-M.5
He, Y.6
-
35
-
-
56749145921
-
A low-power phase change memory based hybrid cache architecture
-
P. Mangalagiri, K. Sarpatwari, A. Yanamandra, V. Narayanan, Y. Xie, M. J. Irwin, and O. A. Karim. 2008. A low-power phase change memory based hybrid cache architecture. In Proceedings of the Great Lakes Symposium on VLSI (GLSVLSI'08). 395-398.
-
(2008)
Proceedings of the Great Lakes Symposium on VLSI (GLSVLSI'08)
, pp. 395-398
-
-
Mangalagiri, P.1
Sarpatwari, K.2
Yanamandra, A.3
Narayanan, V.4
Xie, Y.5
Irwin, M.J.6
Karim, O.A.7
-
40
-
-
84865683982
-
Analysis and modeling of internal state variables for dynamic effects of nonvolatile memory devices
-
Y. Shang, W. Fei, and H. Yu. 2012. Analysis and modeling of internal state variables for dynamic effects of nonvolatile memory devices. IEEE Trans. Circuits Syst. Regul. Pap. 59, 9, 1.
-
(2012)
IEEE Trans. Circuits Syst. Regul. Pap.
, vol.59
, Issue.9
, pp. 1
-
-
Shang, Y.1
Fei, W.2
Yu, H.3
-
41
-
-
77954496810
-
Write activity reduction on flash main memory via smart victim cache
-
L. Shi, C. J. Xue, J. Hu, W.-C. Tseng, and E. H.-M. Sha. 2010. Write activity reduction on flash main memory via smart victim cache. In Proceedings of the Great Lakes Symposium on VLSI (GLSVLSI'10). 91-94.
-
(2010)
Proceedings of the Great Lakes Symposium on VLSI (GLSVLSI'10)
, pp. 91-94
-
-
Shi, L.1
Xue, C.J.2
Hu, J.3
Tseng, W.-C.4
Sha, E.H.-M.5
-
44
-
-
78650950735
-
Optimal scheduling to minimize non-volatile memory access time with hardware cache
-
W.-C. Tseng, C. J. Xue, Q. Zhuge, J. Hu, and E. H.-M. Sha. 2010. Optimal scheduling to minimize non-volatile memory access time with hardware cache. In Proceedings of the 18th IEEE/IFIP VLSI System on Chip Conference (VLSI-SOC'10). 131-136.
-
(2010)
Proceedings of the 18th IEEE/IFIP VLSI System on Chip Conference (VLSI-SOC'10)
, pp. 131-136
-
-
Tseng, W.-C.1
Xue, C.J.2
Zhuge, Q.3
Hu, J.4
Sha, E.H.-M.5
-
46
-
-
47649086892
-
Dynamic allocation for scratch-pad memory using compile-time decisions
-
S. Udayakumaran, A. Dominguez, and R. Barua. 2006. Dynamic allocation for scratch-pad memory using compile-time decisions. ACM Trans. Embed. Comput. Syst. 5, 2, 472-511.
-
(2006)
ACM Trans. Embed. Comput. Syst.
, vol.5
, Issue.2
, pp. 472-511
-
-
Udayakumaran, S.1
Dominguez, A.2
Barua, R.3
-
47
-
-
84867604318
-
Loop scheduling optimization for chip-multiprocessors with non-volatile main memory
-
Y.Wang, J. Du, J. Hu, Q. Zhuge, and E.-M. Sha. 2012. Loop scheduling optimization for chip-multiprocessors with non-volatile main memory. In Proceedings of the International Conference on Acoustics, Speech, and Signal Processing (ICASSP'12). 1553-1556.
-
(2012)
Proceedings of the International Conference on Acoustics, Speech, and Signal Processing (ICASSP'12)
, pp. 1553-1556
-
-
Wang, Y.1
Du, J.2
Hu, J.3
Zhuge, Q.4
Sha, E.-M.5
-
48
-
-
70450243083
-
Hybrid cache architecture with disparate memory technologies
-
X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony, and Y. Xie. 2009. Hybrid cache architecture with disparate memory technologies. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA'09). 34-45.
-
(2009)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA'09)
, pp. 34-45
-
-
Wu, X.1
Li, J.2
Zhang, L.3
Speight, E.4
Rajamony, R.5
Xie, Y.6
-
49
-
-
70350066513
-
Power and performance of read-write aware hybrid caches with non-volatile memories
-
X.Wu, J. Li, L. Zhang, E. Speight, and Y. Xie. 2009. Power and performance of read-write aware hybrid caches with non-volatile memories. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'09). 737-742.
-
(2009)
Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'09)
, pp. 737-742
-
-
Wu, X.1
Li, J.2
Zhang, L.3
Speight, E.4
Xie, Y.5
-
50
-
-
33746626966
-
Design space exploration for 3D architectures
-
Y. Xie, G. H. Loh, B. Black, and K. Bernstein. 2006. Design space exploration for 3D architectures. J. Emerg. Technol. Comput. Syst. 2, 2, 65-103.
-
(2006)
J. Emerg. Technol. Comput. Syst.
, vol.2
, Issue.2
, pp. 65-103
-
-
Xie, Y.1
Loh, G.H.2
Black, B.3
Bernstein, K.4
|