-
1
-
-
84888300734
-
-
International Technology Roadmap for Semiconductors
-
International Technology Roadmap for Semiconductors. < http://www.itrs.net >.
-
-
-
-
2
-
-
84866526723
-
A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors
-
C. Auth et al., A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors, in: IEEE Symposium on VLSI Technology, June 2012, pp.131-132.
-
(2012)
IEEE Symposium on VLSI Technology, June
, pp. 131-132
-
-
Auth, C.1
-
3
-
-
0016116644
-
Design of Ion-Implanted MOSFET's with very small physical dimensions
-
R.H. Dennard, F.H. Gaensslen, V.L. Rideout, E. Bassous, and A.R. LeBlanc Design of Ion-Implanted MOSFET's with very small physical dimensions IEEE Journal of Solid-State Circuits SC-9 5 1974 256 268
-
(1974)
IEEE Journal of Solid-State Circuits
, vol.9 SC-
, Issue.5
, pp. 256-268
-
-
Dennard, R.H.1
Gaensslen, F.H.2
Rideout, V.L.3
Bassous, E.4
Leblanc, A.R.5
-
4
-
-
77951437821
-
Statistical approach to low power and high volume pineview atom-based SoC design
-
S. Sutanthavibul et al., Statistical approach to low power and high volume pineview atom-based SoC design, in: International SoC Design Conference, November 2009, pp. 228-231.
-
(2009)
International SoC Design Conference, November
, pp. 228-231
-
-
Sutanthavibul, S.1
-
5
-
-
79955812701
-
Variability in nanoscale CMOS technology
-
K. Kuhn Variability in nanoscale CMOS technology Science China Information Sciences 54 5 2011 936 945
-
(2011)
Science China Information Sciences
, vol.54
, Issue.5
, pp. 936-945
-
-
Kuhn, K.1
-
6
-
-
70349299081
-
A 4.0 GHz 291Mb voltage-scalable SRAM design in 32nm high-K metal-gate CMOS with integrated power management
-
Y. Wang et al., A 4.0 GHz 291Mb voltage-scalable SRAM design in 32nm high-K metal-gate CMOS with integrated power management, in: IEEE Solid State Circuits Conference, February 2009, pp. 456-457.
-
(2009)
IEEE Solid State Circuits Conference, February
, pp. 456-457
-
-
Wang, Y.1
-
8
-
-
0035308547
-
The impact of intrinsic device fluctuations on CMOS SRAM cell stability
-
DOI 10.1109/4.913744, PII S0018920001024106
-
A. Bhavnagarwala The impact of intrinsic device fluctuations on CMOS SRAM cell stability IEEE Journal of Solid-State Circuits 36 4 2001 658 665 (Pubitemid 32407171)
-
(2001)
IEEE Journal of Solid-State Circuits
, vol.36
, Issue.4
, pp. 658-665
-
-
Bhavnagarwala, A.J.1
Tang, X.2
Meindl, J.D.3
-
10
-
-
48649091785
-
High performance CMOS variability in the 65nm regime and beyond
-
S. Nassif et al., High performance CMOS variability in the 65nm regime and beyond, in: IEEE Electron Devices Meeting, December 2007, pp. 569-571.
-
(2007)
IEEE Electron Devices Meeting, December
, pp. 569-571
-
-
Nassif, S.1
-
11
-
-
84865536886
-
Dynamic behavior of SRAM data retention and a novel transient voltage collapse technique for 0.6V 32nm LP SRAM
-
Y. Wang et al., Dynamic behavior of SRAM data retention and a novel transient voltage collapse technique for 0.6V 32nm LP SRAM, IEDM Dig. Tech. Papers, December 2011, pp. 742-744.
-
(2011)
IEDM Dig. Tech. Papers, December
, pp. 742-744
-
-
Wang, Y.1
-
12
-
-
84860679245
-
Capacitive-coupling wordline boosting with self-induced VCC collapse for write VMIN reduction in 22-nm 8T SRAM
-
J. Kulkarni et al., Capacitive-coupling wordline boosting with self-induced VCC collapse for write VMIN reduction in 22-nm 8T SRAM, in: IEEE Solid State Circuits Conference, February 2012, pp. 234-236.
-
(2012)
IEEE Solid State Circuits Conference, February
, pp. 234-236
-
-
Kulkarni, J.1
-
13
-
-
84860684461
-
A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active v MIN-enhancing assist circuitry
-
E. Karl et al., A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active V MIN-enhancing assist circuitry, in: IEEE Solid State Circuits Conference, February 2012, pp. 230-232.
-
(2012)
IEEE Solid State Circuits Conference, February
, pp. 230-232
-
-
Karl, E.1
-
14
-
-
41549129905
-
An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches
-
L. Chang An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches IEEE Journal of Solid-State Circuits 43 4 2008 956 963
-
(2008)
IEEE Journal of Solid-State Circuits
, vol.43
, Issue.4
, pp. 956-963
-
-
Chang, L.1
-
15
-
-
49549103577
-
A 32kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
I.-J. Chang et al., A 32kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS, in: IEEE Solid State Circuits Conference, February 2008, pp. 388-389.
-
(2008)
IEEE Solid State Circuits Conference, February
, pp. 388-389
-
-
Chang, I.-J.1
-
16
-
-
80053637661
-
5T SRAM with asymmetric sizing for improved read stability
-
S. Nalam 5T SRAM with asymmetric sizing for improved read stability IEEE Journal of Solid-State Circuits 46 10 2011 2431 2442
-
(2011)
IEEE Journal of Solid-State Circuits
, vol.46
, Issue.10
, pp. 2431-2442
-
-
Nalam, S.1
-
17
-
-
84866615078
-
A 260mV L-shaped 7T SRAM with bit-line (BL) swing expansion schemes based on boosted BL, asymmetric-VTH read-port, and offset cell VDD biasing techniques
-
M. Chen et al., A 260mV L-shaped 7T SRAM with bit-line (BL) swing expansion schemes based on boosted BL, asymmetric-VTH read-port, and offset cell VDD biasing techniques, in: IEEE Symposium on VLSI Circuits, June 2012, pp. 112-113.
-
(2012)
IEEE Symposium on VLSI Circuits, June
, pp. 112-113
-
-
Chen, M.1
-
18
-
-
4544226086
-
A SRAM design on 65nm CMOS technology with integrated leakage reduction scheme
-
K. Zhang, A SRAM design on 65nm CMOS technology with integrated leakage reduction scheme, in: IEEE Symposium on VLSI Circuits, June 2004, pp. 294-295.
-
(2004)
IEEE Symposium on VLSI Circuits, June
, pp. 294-295
-
-
Zhang, K.1
-
19
-
-
77950326755
-
Statistical-aware design for the nm Era
-
R. Joshi Statistical-aware design for the nm Era IC Design and Methodology May 2009 207 210
-
(2009)
IC Design and Methodology
, Issue.MAY
, pp. 207-210
-
-
Joshi, R.1
-
20
-
-
77951880976
-
A discussion on SRAM circuit design trend in deeper nanometer-scale technologies
-
H. Yamauchi A discussion on SRAM circuit design trend in deeper nanometer-scale technologies IEEE Transactions on VLSI Systems 18 5 2010 763 773
-
(2010)
IEEE Transactions on VLSI Systems
, vol.18
, Issue.5
, pp. 763-773
-
-
Yamauchi, H.1
-
21
-
-
31344455697
-
Ultra-Dynamic Voltage scaling (UDVS) using sub-threshold operation and local voltage dithering
-
DOI 10.1109/JSSC.2005.859886
-
B. Calhoun, and A. Chandrakasan Ultra-dynamic voltage scaling (udvs) using sub-threshold operation and local voltage dithering IEEE Journal of Solid-State Circuits 41 1 Jan. 2006 238 245 (Pubitemid 43145981)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 238-245
-
-
Calhoun, B.H.1
Chandrakasan, A.P.2
-
22
-
-
29144484027
-
Quasi-static voltage scaling for energy minimization with time constraints
-
7-11 March
-
A. Andrei, M.T. Schmitz, P. Eles, Z. Peng, B.M. Al Hashimi, Quasi-static voltage scaling for energy minimization with time constraints, Design, Automation and Test in Europe, 2005. Proceedings, vol. 1, 7-11 March 2005, pp. 514-519.
-
(2005)
Design, Automation and Test in Europe, 2005. Proceedings
, vol.1
, pp. 514-519
-
-
Andrei, A.1
Schmitz, M.T.2
Eles, P.3
Peng, Z.4
Al Hashimi, B.M.5
-
23
-
-
33748524600
-
The limit of dynamic voltage scaling and insomniac dynamic voltage scaling
-
DOI 10.1109/TVLSI.2005.859588
-
Bo Zhai, D. Blaauw, D. Sylvester, and K. Flautner The limit of dynamic voltage scaling and insomniac dynamic voltage scaling IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 11 2005 1239 1252 (Pubitemid 46395318)
-
(2005)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.13
, Issue.11
, pp. 1239-1252
-
-
Zhai, B.1
Blaauw, D.2
Sylvester, D.3
Flautner, K.4
-
24
-
-
70449563108
-
Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance
-
James Tschanz, Keith Bowman, Steve Walstra, Marty Agostinelli, Tanay Karnik, Vivek De, Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance, in: 2009 Symposium on VLSI Circuits, 16-18 June 2009, pp. 112-113.
-
2009 Symposium on VLSI Circuits, 16-18 June 2009
, pp. 112-113
-
-
Tschanz, J.1
Bowman, K.2
Walstra, S.3
Agostinelli, M.4
Karnik, T.5
De, V.6
-
25
-
-
78650861417
-
A 45 nm resilient microprocessor core for dynamic variation tolerance
-
K.A. Bowman, J.W. Tschanz, S.L. Lu, P.A. Aseron, M.M. Khellah, A. Raychowdhury, B.M. Geuskens, C. Tokunaga, C.B. Wilkerson, T. Karnik, and V.K. De A 45 nm resilient microprocessor core for dynamic variation tolerance IEEE Journal of Solid-State Circuits 46 1 2011 194 208
-
(2011)
IEEE Journal of Solid-State Circuits
, vol.46
, Issue.1
, pp. 194-208
-
-
Bowman, K.A.1
Tschanz, J.W.2
Lu, S.L.3
Aseron, P.A.4
Khellah, M.M.5
Raychowdhury, A.6
Geuskens, B.M.7
Tokunaga, C.8
Wilkerson, C.B.9
Karnik, T.10
De, V.K.11
-
26
-
-
1842477897
-
Going beyond worst-case specs with TEAtime
-
A.K. Uht Going beyond worst-case specs with TEAtime Computer 37 3 2004 51 56
-
(2004)
Computer
, vol.37
, Issue.3
, pp. 51-56
-
-
Uht, A.K.1
-
27
-
-
84860700885
-
Bubble Razor: An architecture-independent approach to timing-error detection and correction
-
M. Fojtik, D. Fick, Yejoong Kim, N. Pinckney, D. Harris, D. Blaauw, D. Sylvester, Bubble Razor: an architecture-independent approach to timing-error detection and correction, in: Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE International, 19-23 February 2012, pp. 488-490.
-
(2012)
Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE International, 19-23 February
, pp. 488-490
-
-
Fojtik, M.1
Fick, D.2
Kim, Y.3
Pinckney, N.4
Harris, D.5
Blaauw, D.6
Sylvester, D.7
-
28
-
-
34548133522
-
Cross layer error exploitation for aggressive voltage scaling
-
Amin Khajeh Djahromi, Ahmed M. Eltawil, Fadi J. Kurdahi, Rouwaida Kanj, Cross layer error exploitation for aggressive voltage scaling, ISQED, 2007.
-
(2007)
ISQED
-
-
Khajeh Djahromi, A.1
Eltawil, A.M.2
Kurdahi, F.J.3
Kanj, R.4
-
29
-
-
84944408150
-
Razor: A low-power pipeline based on circuit-level timing speculation
-
3-5 Dec.
-
D. Ernst, Nam Sung Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, T. Mudge, Razor: a low-power pipeline based on circuit-level timing speculation, in: Proceedings of 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 36), 3-5 Dec. 2003, pp. 7-18.
-
(2003)
Proceedings of 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 36)
, pp. 7-18
-
-
Ernst, D.1
Sung Kim, N.2
Das, S.3
Pant, S.4
Rao, R.5
Pham, T.6
Ziesler, C.7
Blaauw, D.8
Austin, T.9
Flautner, K.10
Mudge, T.11
-
30
-
-
84886733464
-
-
Fadi J. Kurdahi, Ahmed M. Eltawil, Young-Hwan Park, Rouwaida N. Kanj, Sani R. Nassif, System-Level SRAM Yield Enhancement, ISQED 2006, pp. 179-184.
-
(2006)
System-Level SRAM Yield Enhancement, ISQED
, pp. 179-184
-
-
Kurdahi, F.J.1
Eltawil, A.M.2
Park, Y.3
Kanj, R.N.4
Nassif, S.R.5
-
32
-
-
0035308547
-
The impact of intrinsic device fluctuations on cmos sram cell stability
-
A. Bhavnagarwala, X. Tang, and J.D. Meindl The impact of intrinsic device fluctuations on cmos sram cell stability JSSC April 2001
-
(2001)
JSSC
, Issue.APRIL
-
-
Bhavnagarwala, A.1
Tang, X.2
Meindl, J.D.3
-
34
-
-
4644313547
-
The case for lifetime reliability-aware microprocessors
-
J. Srinivasan, S.V. Adve, P. Bose, J.A. Rivers, The case for lifetime reliability-aware microprocessors, in: Proceedings of the 31st International Symposium on Computer Architecture (ISCA-04), IEEE, June 2004, pp. 276-287.
-
(2004)
Proceedings of the 31st International Symposium on Computer Architecture (ISCA-04), IEEE, June
, pp. 276-287
-
-
Srinivasan, J.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
-
35
-
-
4544227478
-
The impact of technology scaling on lifetime reliability
-
J. Srinivasan, S.V. Adve, P. Bose, J.A. Rivers, The impact of technology scaling on lifetime reliability, in: Proceedings of the 34th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, IEEE/IFIP, 2004, pp. 177-186.
-
(2004)
Proceedings of the 34th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, IEEE/IFIP
, pp. 177-186
-
-
Srinivasan, J.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
-
36
-
-
34547297265
-
Opportunities and challenges for better than worst-case design
-
T. Austin, V. Bertacco, D. Blaauw, T. Mudge, Opportunities and challenges for better than worst-case design, in: Proceedings of the 2005 Asia and South Pacific Design Au- tomation Conference (ASP-DAC'05), ACM, 2005, pp. 2-7.
-
(2005)
Proceedings of the 2005 Asia and South Pacific Design Au- Tomation Conference (ASP-DAC'05), ACM
, pp. 2-7
-
-
Austin, T.1
Bertacco, V.2
Blaauw, D.3
Mudge, T.4
-
37
-
-
77952561335
-
Designing a processor from the ground up to allow voltage/reliability tradeoffs
-
A.B. Kahng, S. Kang, R. Kumar, J. Sartori, Designing a processor from the ground up to allow voltage/reliability tradeoffs, in: Proceedings of the 16th International Symposium on High Performance Computer Architecture (HPCA), IEEE, 2010, pp. 1-11.
-
(2010)
Proceedings of the 16th International Symposium on High Performance Computer Architecture (HPCA), IEEE
, pp. 1-11
-
-
Kahng, A.B.1
Kang, S.2
Kumar, R.3
Sartori, J.4
-
38
-
-
77953119273
-
Scalable stochastic processors
-
S. Nararanan, J. Sartori, R. Kumar, D.L. Jones, Scalable stochastic processors, in: Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2010), European Design and Automation Association, 2010, pp. 335-338.
-
(2010)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2010), European Design and Automation Association
, pp. 335-338
-
-
Nararanan, S.1
Sartori, J.2
Kumar, R.3
Jones, D.L.4
-
39
-
-
81255207081
-
Stochastic computing: Embracing errors in architecture and design of processors and applications
-
J. Sartori, J. Sloan, R. Kumar, Stochastic computing: embracing errors in architecture and design of processors and applications, in: Proceedings of the 14th International Conference on Compilers, Architectures, and Synthesis (CASES 2011), ACM, 2011, pp. 135-144.
-
(2011)
Proceedings of the 14th International Conference on Compilers, Architectures, and Synthesis (CASES 2011), ACM
, pp. 135-144
-
-
Sartori, J.1
Sloan, J.2
Kumar, R.3
-
41
-
-
79961040286
-
Toward Dark Silicon in Servers
-
N. Hardavellas, M. Ferdman, B. Falsafi, A. Ailamaki, Toward Dark Silicon in Servers, IEEE Micro, IEEE, July/August 2011, pp. 6-15.
-
(2011)
IEEE Micro, IEEE, July/August
, pp. 6-15
-
-
Hardavellas, N.1
Ferdman, M.2
Falsafi, B.3
Ailamaki, A.4
-
42
-
-
84864672059
-
A novel design methodology for implementing reliability-aware systems on SRAM-based FPGAs
-
C. Bolchini, A. Miele, and C. Sandionigi A novel design methodology for implementing reliability-aware systems on SRAM-based FPGAs IEEE Transactions on Computers 60 12 December 2011 1744 1758
-
(2011)
IEEE Transactions on Computers
, vol.60
, Issue.12
, pp. 1744-1758
-
-
Bolchini, C.1
Miele, A.2
Sandionigi, C.3
-
44
-
-
84862685723
-
Fine-Grain Voltage Tuned Cache Architecture for Yield Management under Process Variations
-
Fine-Grain Voltage Tuned Cache Architecture for Yield Management under Process Variations, J. Kong, Y. Pan, S. Ozdemir, A. Mohan, G. Memik, and S. W. Chung, IEEE Trans. VLSI, 2012.
-
(2012)
IEEE Trans. VLSI
-
-
Kong, J.1
Pan, Y.2
Ozdemir, S.3
Mohan, A.4
Memik, G.5
Chung, S.W.6
-
46
-
-
78650660209
-
E < MC2: Less Energy through Multi-Copy Cache
-
A. Chakraborty et al., E < MC2: Less Energy through Multi-Copy Cache, Proc. CASES, 2010.
-
(2010)
Proc. CASES
-
-
Chakraborty, A.1
-
47
-
-
47749131902
-
Error Aware Design
-
Fadi Kurdahi, Ahmed Eltawil, Amin K. Djahromi, Mohammad Makhzan, Stanley Cheng, Error Aware Design, in: proceedings of the 10th Euromicro Conference on Digital System Design Architectures, August 2007, pp. 8-15.
-
(2007)
Proceedings of the 10th Euromicro Conference on Digital System Design Architectures, August
, pp. 8-15
-
-
Kurdahi, F.1
Eltawil, A.2
Djahromi, A.K.3
Makhzan, M.4
Cheng, S.5
-
49
-
-
72049119906
-
-
Avesta Sasan, Houman Homayoun, Ahmed Eltawil, Fadi J. Kurdahi, A Fault Tolerant Cache Architecture for Sub 500mv Operation Resizable Data Composer Cache (RDC-Cache), CASES 2009, Grenoble, France.
-
(2009)
A Fault Tolerant Cache Architecture for Sub 500mv Operation Resizable Data Composer Cache (RDC-Cache), CASES, Grenoble, France
-
-
Sasan, A.1
Homayoun, H.2
Eltawil, A.3
Kurdahi, F.J.4
-
50
-
-
52949089661
-
Limits on voltage scaling for caches utilizing fault tolerant techniques
-
M.A. Makhzan, A. Khajeh, A. Eltawil, F. Kurdahi, Limits on voltage scaling for caches utilizing fault tolerant techniques, in: proceedings of 25th International Conference on Computer Design ICCD, 2007, pp. 488-495.
-
(2007)
Proceedings of 25th International Conference on Computer Design ICCD
, pp. 488-495
-
-
Makhzan, M.A.1
Khajeh, A.2
Eltawil, A.3
Kurdahi, F.4
-
51
-
-
77954968857
-
Relax: An architectural framework for software recovery of hardware faults
-
New York, NY, USA
-
M. de Kruijf, S. Nomura, K. Sankaralingam. Relax: an architectural framework for software recovery of hardware faults, in: Proceedings of ISCA '10, ACM, New York, NY, USA, 2010, pp. 497-508.
-
(2010)
Proceedings of ISCA '10, ACM
, pp. 497-508
-
-
De Kruijf, M.1
Nomura, S.2
Sankaralingam, K.3
-
52
-
-
84857422005
-
Classification-based improvement of application robustness and quality of service in probabilistic computer systems
-
Springer-Verlag, Berlin, Heidelberg
-
A. Heinig, V.J. Mooney, F. Schmoll, P. Marwedel, K. Palem, M. Engel. Classification-based improvement of application robustness and quality of service in probabilistic computer systems. in: Proceedings of ARCS'12, Springer-Verlag, Berlin, Heidelberg, 2012, pp. 1-12.
-
(2012)
Proceedings of ARCS'12
, pp. 1-12
-
-
Heinig, A.1
Mooney, V.J.2
Schmoll, F.3
Marwedel, P.4
Palem, K.5
Engel, M.6
-
53
-
-
54249145996
-
Configurable transient fault detection via dynamic binary translation
-
G.A. Reis, J. Chang, D.I. August, R. Cohn, S.S. Mukherjee. Configurable transient fault detection via dynamic binary translation, in: Proceedings of the 2nd Workshop on Architectural Reliability, 2006.
-
(2006)
Proceedings of the 2nd Workshop on Architectural Reliability
-
-
Reis, G.A.1
Chang, J.2
August, D.I.3
Cohn, R.4
Mukherjee, S.S.5
-
54
-
-
33646829087
-
SWIFT: Software implemented fault tolerance
-
DOI 10.1109/CGO.2005.34, 1402092, Proceedings of the 2005 International Symposium onCode Generation and Optimization, CGO 2005
-
G.A. Reis, J. Chang, N. Vachharajani, R. Rangan, D.I. August. SWIFT: Software implemented fault tolerance, in: Proceedings of CGO'05, IEEE Computer Society, Washington, DC, USA, 2005, pp. 243-254. (Pubitemid 43773808)
-
(2005)
Proceedings of the 2005 International Symposium on Code Generation and Optimization, CGO 2005
, vol.2005
, pp. 243-254
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.I.5
-
55
-
-
79959878920
-
EnerJ: Approximate data types for safe and general low-power computation
-
A. Sampson, W. Dietl, E. Fortuna, D. Gnanapragasam, L. Ceze, D. Grossman. EnerJ: approximate data types for safe and general low-power computation, in: Proceedings of PLDI '11, ACM, New York, NY, USA, 2011, pp. 164-174.
-
(2011)
Proceedings of PLDI '11, ACM, New York, NY, USA
, pp. 164-174
-
-
Sampson, A.1
Dietl, W.2
Fortuna, E.3
Gnanapragasam, D.4
Ceze, L.5
Grossman, D.6
-
56
-
-
84888305358
-
Improving the fault resilience of an H.264 decoder using static analysis methods
-
in press
-
F. Schmoll, A. Heinig, P. Marwedel, M. Engel, Improving the fault resilience of an H.264 decoder using static analysis methods, ACM Transactions on Embedded Computing Systems (TECS), (in press).
-
ACM Transactions on Embedded Computing Systems (TECS)
-
-
Schmoll, F.1
Heinig, A.2
Marwedel, P.3
Engel, M.4
-
57
-
-
77951880041
-
Low Power application-aware multimedia system design by aggressive voltage scaling
-
F.J. Kurdahi, A. Eltawil, K. Yi, S. Cheng, and A. Khajeh Low Power application-aware multimedia system design by aggressive voltage scaling IEEE Transactions on VLSI 18 5 2010
-
(2010)
IEEE Transactions on VLSI
, vol.18
, Issue.5
-
-
Kurdahi, F.J.1
Eltawil, A.2
Yi, K.3
Cheng, S.4
Khajeh, A.5
-
58
-
-
0037630988
-
Dual antenna UMTS mobile station transceiver ASIC for 2 Mbps data rate
-
Ahmed M. Eltawil, Eugene Grayver, Hanli Zou, Jean Francois Frigon, Gennady Poberezhskiy and Babak Daneshrad, Dual antenna UMTS mobile station transceiver ASIC for 2 Mbps data rate, in: Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), 46(February) (2003) 146-47.
-
(2003)
Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), 46(February)
, pp. 146-147
-
-
Eltawil, A.M.1
Grayver, E.2
Zou, H.3
Francois Frigon, J.4
Poberezhskiy, G.5
Daneshrad, B.6
-
59
-
-
34547301233
-
Fault tolerant approaches targeting ultra low power communications system design
-
A.K. Djahromi, A.M. Eltawil, F.J. Kurdahi, Fault tolerant approaches targeting ultra low power communications system design, in: Proc. 2007 65th IEEE Vehicular Technology Conference VTC2007-Spring, April 2007, pp. 2600-2604.
-
Proc. 2007 65th IEEE Vehicular Technology Conference VTC2007-Spring, April 2007
, pp. 2600-2604
-
-
Djahromi, A.K.1
Eltawil, A.M.2
Kurdahi, F.J.3
-
60
-
-
77953110390
-
ERSA: Error resilient system architecture for probabilistic applications
-
L. Leem, H. Cho, J. Bau, Q.A. Jacobson, S. Mitra. ERSA: Error resilient system architecture for probabilistic applications, In DATE, 2010.
-
(2010)
DATE
-
-
Leem, L.1
Cho, H.2
Bau, J.3
Jacobson, Q.A.4
Mitra, S.5
-
61
-
-
0033886990
-
System support for mobile adaptive applications
-
B. Noble System support for mobile adaptive applications IEEE Personal Communications 7 1 2000
-
(2000)
IEEE Personal Communications
, vol.7
, Issue.1
-
-
Noble, B.1
-
63
-
-
0037306141
-
Low-power filtering via adaptive error-cancellation
-
L. Wang, and N.R. Shanbhag Low-power filtering via adaptive error-cancellation IEEE Transactions on Signal Processing [see also Acoustics, Speech, and Signal Processing, IEEE Transactions on] 51 2 2003 575 583
-
(2003)
IEEE Transactions on Signal Processing [See Also Acoustics, Speech, and Signal Processing, IEEE Transactions On]
, vol.51
, Issue.2
, pp. 575-583
-
-
Wang, L.1
Shanbhag, N.R.2
-
64
-
-
0036047839
-
Reliable and energy-efficient digital signal processing
-
N. Shanbhag, Reliable and energy-efficient digital signal processing, in: Design Automation Conference, 2002. Proceedings, vol. 39, 2002, pp. 830-835.
-
(2002)
Design Automation Conference, 2002. Proceedings
, vol.39
, pp. 830-835
-
-
Shanbhag, N.1
-
66
-
-
0033321638
-
DIVA: A reliable substrate for deep submicron microarchitecture design
-
Todd M. Austin, DIVA: a reliable substrate for deep submicron microarchitecture design, in: Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture (MICRO 32). IEEE Computer Society, Washington, DC, USA, 1999, pp. 196-207. (Pubitemid 30516605)
-
(1999)
Proceedings of the Annual International Symposium on Microarchitecture
, pp. 196-207
-
-
Austin Todd, M.1
|