-
1
-
-
0030082306
-
Mobile information access
-
M. Satyanarayanan, "Mobile information access," IEEE Pers. Commun., vol. 3, no. 1, pp. 26-33, Feb. 1996. (Pubitemid 126558070)
-
(1996)
IEEE Personal Communications
, vol.3
, Issue.1
, pp. 26-33
-
-
Satyanarayanan, M.1
-
2
-
-
34548129711
-
Design of communication architectures for high-performance and energy-efficient system-on-chips
-
San Mateo, CA: Morgan Kaufmann, Sep
-
K. Lahiri, S. Dey, and A. Raghunathan, "Design of communication architectures for high-performance and energy-efficient system-on-chips," in Multiprocessor Systems-on-Chips. San Mateo, CA: Morgan Kaufmann, Sep. 2004.
-
(2004)
Multiprocessor Systems-on-Chips
-
-
Lahiri, K.1
Dey, S.2
Raghunathan, A.3
-
3
-
-
84886733464
-
System-Level SRAM yield enhancement
-
F. J. Kurdahi, A. M. Eltawil, Y. Park, R. Kanj, and S. R. Nassif, "System-Level SRAM yield enhancement," in Proc. ISQED, 2006, pp. 176-184.
-
(2006)
Proc. ISQED
, pp. 176-184
-
-
Kurdahi, F.J.1
Eltawil, A.M.2
Park, Y.3
Kanj, R.4
Nassif, S.R.5
-
4
-
-
34748916996
-
System redundancy: A means of improving process variation yield degradation in memory arrays
-
A. M. Eltawil and F. J. Kurdahi, "System redundancy: A means of improving process variation yield degradation in memory arrays," in Proc. VLSI-DAT, 2006, pp. 139-142.
-
(2006)
Proc. VLSI-DAT
, pp. 139-142
-
-
Eltawil, A.M.1
Kurdahi, F.J.2
-
6
-
-
3042622321
-
Defect and error tolerance in the presence of massive numbers of defects
-
May/Jun
-
M. A. Breuer, S. K. Gupta, and T. M. Mak, "Defect and error tolerance in the presence of massive numbers of defects," IEEE Des. Test Comput., vol. 21, no. 3, pp. 216-227, May/Jun. 2004.
-
(2004)
IEEE Des. Test Comput.
, vol.21
, Issue.3
, pp. 216-227
-
-
Breuer, M.A.1
Gupta, S.K.2
Mak, T.M.3
-
7
-
-
0033886990
-
System support for mobile, adaptive applications
-
DOI 10.1109/98.824577
-
B. Noble, "System support for mobile adaptive applications," IEEE Pers. Commun., vol. 7, no. 1, pp. 44-49, Feb. 2000. (Pubitemid 30564054)
-
(2000)
IEEE Personal Communications
, vol.7
, Issue.1
, pp. 44-49
-
-
Noble, B.1
-
8
-
-
77951880306
-
-
Fujitsu Microelectron. Asia Pte Ltd, Singapore, [Online]. Available
-
Fujitsu Microelectron. Asia Pte Ltd, Singapore, "Fujitsu MB86H50 H.264 codec chip," 2006. [Online]. Available: http://www.fujitsu.com/sg/ news/pr/fmal-20061215.html
-
(2006)
Fujitsu MB86H50 H.264 Codec Chip
-
-
-
9
-
-
77951879931
-
-
Hewlett-Packard Dev. Co., Cupertino, CA, An integrated cache/SRAM access time, cycle time, area, leakage, and dynamic power model CACTI, [Online]. Available
-
Hewlett-Packard Dev. Co., Cupertino, CA, An integrated cache/SRAM access time, cycle time, area, leakage, and dynamic power model CACTI 2008. [Online]. Available: http://quid.hpl.hp. com:9081/cacti
-
(2008)
-
-
-
11
-
-
4243681615
-
-
Arizona State Univ., Tempe, AZ, [Online]. Available
-
Arizona State Univ., Tempe, AZ, "Predictive Technology Model (PTM)," 2007. [Online]. Available: http://www.eas.asu.edu/~ptm
-
(2007)
Predictive Technology Model (PTM)
-
-
-
12
-
-
77951878156
-
-
[Online]. Available
-
ARC Int., "ARC video subsystem," 2006. [Online]. Available: http://www.arc.com/subsystems/video/ARC-Video-pb.pdf
-
(2006)
ARC Video Subsystem
-
-
-
13
-
-
77951880051
-
-
[Online]. Available
-
IBM Corp., New York, "IBM 65 nm process," 2006. [Online]. Available: http://www-03.ibm.com/chips/asics/products/stdcell.html
-
(2006)
IBM 65 Nm Process
-
-
-
14
-
-
0041629648
-
H.264/AVC baseline profile decoder complexity analysis
-
Jul
-
M. Horowitz, A. Joch, F. Kossentini, and A. Hallapuro, "H.264/AVC baseline profile decoder complexity analysis," IEEE Trans. Circuits Syst. Video Technol., vol. 13, no. 7, pp. 704-716, Jul. 2003.
-
(2003)
IEEE Trans. Circuits Syst. Video Technol.
, vol.13
, Issue.7
, pp. 704-716
-
-
Horowitz, M.1
Joch, A.2
Kossentini, F.3
Hallapuro, A.4
-
16
-
-
77951878806
-
Accounting for chip yield at the application level: A case study of a H.264 video application
-
presented at, Oct, Paper 1.4
-
F. J. Kurdahi, A. M. Eltawil, K. Yi, Y. Park, A. Djahromi, and Y. Zorian, "Accounting for chip yield at the application level: A case study of a H.264 video application," presented at the IEEE Workshop Des. Manuf. Yield Oct. 2006, Paper 1.4.
-
(2006)
The IEEE Workshop Des. Manuf. Yield
-
-
Kurdahi, F.J.1
Eltawil, A.M.2
Yi, K.3
Park, Y.4
Djahromi, A.5
Zorian, Y.6
-
17
-
-
24944457384
-
On the yield of compiler-based eSRAMs
-
Proceedings - 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems
-
X. Wang, M. Ottavi, F. Meyer, and F. Lombardi, "On the yield of compiler-based eSRAMs," in Proc. Int. Symp. Defect Fault Tolerance VLSI Syst., 2004, pp. 11-19. (Pubitemid 41311295)
-
(2004)
IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems
, pp. 11-19
-
-
Wang, X.1
Ottavi, M.2
Meyer, F.3
Lombardi, F.4
-
18
-
-
13144266757
-
A process-tolerant cache architecture for improved yield in nanoscale technologies
-
DOI 10.1109/TVLSI.2004.840407
-
A. Agarwal, B. C. Paul, H. Mahmoodi, A. Datta, and K. Roy, "A process-tolerant cache architecture for improved yield in nanoscale technologies," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 1, pp. 27-38, Jan. 2005. (Pubitemid 40178466)
-
(2005)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.13
, Issue.1
, pp. 27-37
-
-
Agarwal, A.1
Paul, B.C.2
Mahmoodi, H.3
Datta, A.4
Roy, K.5
-
19
-
-
2642559540
-
Evaluation of memory built-in self repair techniques for high defect density technologies
-
L. Anghel, N. Achouri, and M. Nicolaidis, "Evaluation of memory built-in self repair techniques for high defect density technologies," in Proc. 10th IEEE Int. Symp. PRDC, 2004, pp. 315-320.
-
(2004)
Proc. 10th IEEE Int. Symp. PRDC
, pp. 315-320
-
-
Anghel, L.1
Achouri, N.2
Nicolaidis, M.3
-
20
-
-
0142246924
-
A built-in self-repair design for semiconductor memories with 2-D redundancy
-
J. F. Li, J.-C. Yeh, R.-F. Huang, and C.-W. Wu, "A built-in self-repair design for semiconductor memories with 2-D redundancy," in Proc. IEEE Int. Test Conf., 2003, pp. 393-402.
-
(2003)
Proc. IEEE Int. Test Conf.
, pp. 393-402
-
-
Li, J.F.1
Yeh, J.-C.2
Huang, R.-F.3
Wu, C.-W.4
-
21
-
-
16244384194
-
Statistical design and optimization of SRAM cell for yield enhancement
-
1A.2, ICCAD-2004 - IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers
-
S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Statistical design and optimization of SRAM cell for yield enhancement," in Proc. ICCAD, 2004, pp. 10-13. (Pubitemid 40449207)
-
(2004)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
, pp. 10-13
-
-
Mukhopadhyay, S.1
Mahmoodi, H.2
Roy, K.3
-
22
-
-
77951880139
-
-
[Online]. Available
-
I. Richardson, "What is H.264/AVC?," 2005. [Online]. Available: http://www.vcodex.com/h264.html
-
(2005)
What Is H.264/AVC?
-
-
Richardson, I.1
-
23
-
-
1642310480
-
Circuit and microarchitectural techniques reducing cache leakage power
-
Feb
-
N. Kim, K. Flautner, D. Blaauw, and T. Mudge, "Circuit and microarchitectural techniques reducing cache leakage power," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 2, pp. 167-184, Feb. 2004.
-
(2004)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.12
, Issue.2
, pp. 167-184
-
-
Kim, N.1
Flautner, K.2
Blaauw, D.3
Mudge, T.4
-
24
-
-
3843068759
-
Methods for true energy-performance optimization
-
Aug
-
D. Markovic, V. Stojanovic, B. Nikolic, M. A. Horowitz, and R. W. Brodersen, "Methods for true energy-performance optimization," IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1282-1293, Aug. 2004.
-
(2004)
IEEE J. Solid-State Circuits
, vol.39
, Issue.8
, pp. 1282-1293
-
-
Markovic, D.1
Stojanovic, V.2
Nikolic, B.3
Horowitz, M.A.4
Brodersen, R.W.5
-
25
-
-
0037306141
-
Low-power filtering via adaptive errorcancellation
-
Feb
-
L. Wang and N. R. Shanbhag, "Low-power filtering via adaptive errorcancellation," IEEE Trans. Signal Process., vol. 51, no. 2, pp. 575-583, Feb. 2003.
-
(2003)
IEEE Trans. Signal Process.
, vol.51
, Issue.2
, pp. 575-583
-
-
Wang, L.1
Shanbhag, N.R.2
-
26
-
-
0036047839
-
Reliable and energy-efficient digital signal processing
-
N. Shanbhag, "Reliable and energy-efficient digital signal processing," in Proc. 39th ACM/IEEE Des. Autom. Conf., 2002, pp. 830-835.
-
(2002)
Proc. 39th ACM/IEEE Des. Autom. Conf.
, pp. 830-835
-
-
Shanbhag, N.1
-
27
-
-
1842477897
-
Going beyond worst-case specs with TEAtime
-
Mar
-
A. K. Uht, "Going beyond worst-case specs with TEAtime," Computer, vol. 37, no. 3, pp. 51-56, Mar. 2004.
-
(2004)
Computer
, vol.37
, Issue.3
, pp. 51-56
-
-
Uht, A.K.1
-
28
-
-
15044339297
-
Razor: Circuit-level correction of timing errors for low-power operation
-
DOI 10.1109/MM.2004.85
-
D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N. S. Kim, and K. Flautner, "Razor: Circuit-level correction of timing errors for low-power operation," IEEE Micro, vol. 24, no. 6, pp. 10-20, Nov./Dec. 2004. (Pubitemid 40377382)
-
(2004)
IEEE Micro
, vol.24
, Issue.6
, pp. 10-20
-
-
Ernst, D.1
Das, S.2
Lee, S.3
Blaauw, D.4
Austin, T.5
Mudge, T.6
Kim, N.S.7
Flautner, K.8
-
29
-
-
52949089661
-
Limits on voltage scaling for caches utilizing fault tolerant techniques
-
M. A. Makhzan, A. Khajeh, A. Eltawil, and F. Kurdahi, "Limits on voltage scaling for caches utilizing fault tolerant techniques," in Proc. ICCD, 2007, pp. 488-495.
-
(2007)
Proc. ICCD
, pp. 488-495
-
-
Makhzan, M.A.1
Khajeh, A.2
Eltawil, A.3
Kurdahi, F.4
-
31
-
-
34548316191
-
Process variation tolerant low power DCT architecture
-
DOI 10.1109/DATE.2007.364664, 4211869, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
N. Banerjee, G. Karakonstantis, and K. Roy, "Process variation tolerant low power DCT architecture," in Proc. DATE, 2007, pp. 1-6. (Pubitemid 47334026)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 630-635
-
-
Banerjee, N.1
Karakonstantis, G.2
Roy, K.3
-
32
-
-
49549122926
-
Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance
-
K. A. Bowman J. W. Tschanz N. S. Kim J. C. Lee C. B. Wilkerson S.-L. L. Lu T. Karnik V. K. De, "Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance," in ISSCC, 2008, pp. 402-623.
-
(2008)
ISSCC
, pp. 402-623
-
-
Bowman, K.A.1
Tschanz, J.W.2
Kim, N.S.3
Lee, J.C.4
Wilkerson, C.B.5
Lu, L.S.-L.6
Karnik, T.7
De, V.K.8
-
33
-
-
49549105128
-
Razor II: In situ error detection and correction for PVT and SER tolerance
-
D. Blaauw, S. Kalaiselvan, K. Lai, W.-H. Ma, S. Pant, C. Tokunaga, S. Das, and D. Bull, "Razor II: In situ error detection and correction for PVT and SER tolerance," in Proc. ISSCC, 2008, pp. 400-401.
-
(2008)
Proc. ISSCC
, pp. 400-401
-
-
Blaauw, D.1
Kalaiselvan, S.2
Lai, K.3
Ma, W.-H.4
Pant, S.5
Tokunaga, C.6
Das, S.7
Bull, D.8
-
34
-
-
52649108802
-
Trading off cache capacity for reliability to enable low voltage operation
-
C. Wilkerson, H. Gao, A. R. Alameldeen, Z. Chishti, M. Khellah, and S.-L.. Lu, "Trading off cache capacity for reliability to enable low voltage operation," in Proc. ISCA, 2008, pp. 203-214.
-
(2008)
Proc. ISCA
, pp. 203-214
-
-
Wilkerson, C.1
Gao, H.2
Alameldeen, A.R.3
Chishti, Z.4
Khellah, M.5
Lu, S.-L.6
|