-
1
-
-
49549092261
-
A 153 Mb SRAM design with dynamic stability enhancement and leakage reduction in 45 nm high-k metal gate CMOS technology
-
Feb
-
F. Hamzaoglu, K. Zhang, Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, Y. G. Ng, A. Pavlov, K. Smits, and M. Bohr, "A 153 Mb SRAM design with dynamic stability enhancement and leakage reduction in 45 nm high-k metal gate CMOS technology," in IEEE Solid-State Circ. Tech. Dig. Papers, Feb. 2008, pp. 376-377.
-
(2008)
IEEE Solid-State Circ. Tech. Dig. Papers
, pp. 376-377
-
-
Hamzaoglu, F.1
Zhang, K.2
Wang, Y.3
Ahn, H.J.4
Bhattacharya, U.5
Chen, Z.6
Ng, Y.G.7
Pavlov, A.8
Smits, K.9
Bohr, M.10
-
2
-
-
49549091784
-
A 450 ps access-time SRAM macro in 45 nm SOI featuring a two-stage sensing-scheme and dynamic power management
-
Feb
-
H. Pilo, V. Ramadurai, G. Braceras, J. Gabric, S. Lamphier, and Y. Tan, "A 450 ps access-time SRAM macro in 45 nm SOI featuring a two-stage sensing-scheme and dynamic power management," in IEEE Solid-State Circ. Tech. Dig. Papers, Feb. 2008, pp. 378-379.
-
(2008)
IEEE Solid-State Circ. Tech. Dig. Papers
, pp. 378-379
-
-
Pilo, H.1
Ramadurai, V.2
Braceras, G.3
Gabric, J.4
Lamphier, S.5
Tan, Y.6
-
3
-
-
34548845553
-
Implementation of the CELL broadband engine™ in a 65nm SOI technology featuring dual-supply SRAM arrays supporting 6GHz at 1.3V
-
DOI 10.1109/ISSCC.2007.373424, 4242395, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers
-
J. Pille, C. Adams, T. Christensen, S. Cottier, S. Ehrenreich, T. Kono, D. Nelson, O. Takahashi, S. Tokito, O. Torreiter, O. Wagner, and D. Wendel, "Implementation of the CELL broadband engine in a 65 nm SOI technology featuring dual-supply SRAM arrays supporting 6 GHz at 1.3 V," in IEEE Solid-State Circ. Tech. Dig. Papers, Feb. 2007, pp. 322-323. (Pubitemid 47448057)
-
(2007)
Digest of Technical Papers - IEEE International Solid-State Circuits Conference
-
-
Pille, J.1
Adams, C.2
Christensen, T.3
Cottier, S.4
Ehrenreich, S.5
Kono, F.6
Nelson, D.7
Takahashi, O.8
Tokito, S.9
Torreiter, O.10
Wagner, O.11
Wendel, D.12
-
4
-
-
34548819877
-
A 45nm low-standby-power embedded SRAM with improved immunity against process and temperature variations
-
DOI 10.1109/ISSCC.2007.373426, 4242397, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers
-
M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, and H. Shinohara, "A 45 nm low-standby-power embedded SRAM with improved immunity against process and temperature variations," in IEEE Solid-State Circ. Tech. Dig. Papers, Feb. 2007, pp. 326-327. (Pubitemid 47448059)
-
(2007)
Digest of Technical Papers - IEEE International Solid-State Circuits Conference
-
-
Yabuuchi, M.1
Nii, K.2
Tsukamoto, Y.3
Ohbayashi, S.4
Imaoka, S.5
Makino, H.6
Yamagami, Y.7
Ishikura, S.8
Terano, T.9
Oashi, T.10
Hashimoto, K.11
Sebe, A.12
Okazaki, G.13
Satomi, K.14
Akamatsu, H.15
Shinohara, H.16
-
5
-
-
34548825093
-
A 1.1GHz 12μA/Mb-leakage SRAM design in 65nm ultra-low-power CMOS with integrated leakage reduction for mobile applications
-
DOI 10.1109/ISSCC.2007.373425, 4242396, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers
-
Y. Wang, H. Ahn, U. Bhattacharya, T. Coan, F. Hamzaoglu, W. Hafez, C.-H. Jan, R. Kolar, S. Kulkarni, J. Lin, Y. Ng, I. Post, L. Wel, Y. Zhang, K. Zhang, and M. Bohr, "A 1.1 GHz 12 μ A/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS with integrated leakage reduction for mobile applications," in IEEE Solid-State Circ. Tech. Dig. Papers, Feb. 2007, pp. 324-325. (Pubitemid 47448058)
-
(2007)
Digest of Technical Papers - IEEE International Solid-State Circuits Conference
-
-
Wang, Y.1
Ahn, H.2
Bhattacharya, U.3
Coan, T.4
Hamzaoglu, F.5
Hafez, W.6
Jan, C.-H.7
Kolar, P.8
Kulkarni, S.9
Lin, J.10
Ng, Y.11
Post, I.12
Wei, L.13
Zhang, Y.14
Zhang, K.15
Bohr, M.16
-
6
-
-
33644640188
-
Stable SRAM cell design for the 32 nm node and beyond
-
DOI 10.1109/.2005.1469239, 1469239, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams, K. W. Guarini, and W. Haensch, "Stable SRAM cell design for the 32 nm node and beyond," in VLSISymp. Tech. Dig., Jun. 2005, pp. 128-129. (Pubitemid 43897595)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 128-129
-
-
Chang, L.1
Fried, D.M.2
Hergenrother, J.3
Sleight, J.W.4
Dennard, R.H.5
Montoye, R.K.6
Sekaric, L.7
McNab, S.J.8
Topol, A.W.9
Adams, C.D.10
Guarini, K.W.11
Haensch, W.12
-
7
-
-
41549129905
-
An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches
-
DOI 10.1109/JSSC.2007.917509
-
L. Chang, R. K. Montoye, Y. Nakamura, K. A. Batson, R. J. Eickemeyer, R. H. Dennard, W. Haensch, and D. Jamsek, "An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 956-963, Apr. 2008. (Pubitemid 351464089)
-
(2008)
IEEE Journal of Solid-State Circuits
, vol.43
, Issue.4
, pp. 956-962
-
-
Chang, L.1
Montoye, R.K.2
Nakamura, Y.3
Batson, K.A.4
Eickemeyer, R.J.5
Dennard, R.H.6
Haensch, W.7
Jamsek, D.8
-
8
-
-
37749046808
-
An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment
-
DOI 10.1109/VLSIC.2007.4342741, 4342741, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, "An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment," in VLSI Symp. Tech. Dig., Jun. 2007, pp. 256-257. (Pubitemid 351306645)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 256-257
-
-
Morita, Y.1
Fujiwara, H.2
Noguchi, H.3
Iguchi, Y.4
Nii, K.5
Kawaguchi, H.6
Yoshimoto, M.7
-
9
-
-
37749013850
-
A 5.3GHz 8T-SRAM with operation down to 0.41V in 65nm CMOS
-
DOI 10.1109/VLSIC.2007.4342739, 4342739, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
L. Chang, Y. Nakamura, R. K. Montoye, J. Sawada, A. K. Martin, K. Kinoshita, F. H. Gebara, K. B. Agarwal, D. J. Acharyya, W. Haensch, K. Hosokawa, and D. Jamsek, "A 5.3 GHz 8T-SRAM with operation down to 0.41 V in 65 nm CMOS," in VLSI Symp. Tech. Dig., Jun. 2007, pp. 252-253. (Pubitemid 351306643)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 252-253
-
-
Chang, L.1
Nakamura, Y.2
Montoye, R.K.3
Sawada, J.4
Martin, A.K.5
Kinoshita, K.6
Gebara, F.H.7
Agarwal, K.B.8
Achaiyya, D.J.9
Haensch, W.10
Hosokawa, K.11
Jamsek, D.12
-
11
-
-
49549103577
-
A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
Feb, pp, West Lafayette
-
I.-J. Chang, J.-J. Kim, S. Park, and K. Roy, "A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS," in IEEE ISSCC Dig. Tech. Papers, Feb. 2008, pp. 388-622, West Lafayette.
-
(2008)
IEEE ISSCC Dig. Tech. Papers
, pp. 388-622
-
-
Chang, I.-J.1
Kim, J.-J.2
Park, S.3
Roy, K.4
-
12
-
-
31344451652
-
A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply
-
DOI 10.1109/JSSC.2005.859025
-
K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, "A 3 GHz 70 Mb SRAM in 65 nm CMOS technology with integrated column-based dynamic power supply," IEEE J. Solid-State Circuits, vol. 31, no. 1, pp. 474-611, Jan. 2006. (Pubitemid 43145972)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 146-151
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, B.8
Bohr, M.9
-
13
-
-
39749175133
-
A 65 nm SoC embedded 6T-SRAM design for manufacturing with read and write cell stabilizing circuits
-
1705290, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, M. Igarashi, M. Takeuchi, H. Kawashima, H. Makino, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, K. Ishibashi, and H. Shinohara, "A 65 nm SoC embedded 6T-SRAM design for manufacturing with read and write cell stabilizing circuits," in VLSI Symp. Tech. Dig., Jun. 2006, pp. 17-18. (Pubitemid 351306251)
-
(2006)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 17-18
-
-
Ohbayashi, S.1
Yabuuchi, M.2
Nii, K.3
Tsukamoto, Y.4
Imaoka, S.5
Oda, Y.6
Igarashi, M.7
Takeuchi, M.8
Kawashima, H.9
Makino, H.10
Yamaguchi, Y.11
Tsukamoto, K.12
Inuishi, M.13
Ishibashi, K.14
Shinohara, H.15
-
14
-
-
0029723245
-
A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme
-
Jun
-
H. Yamauchi, T. Iwata, H. Akamatsu, and T. Fujita, "A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme," in VLSI Symp. Tech. Dig., Jun. 1996, pp. 126-127.
-
(1996)
VLSI Symp. Tech. Dig.
, pp. 126-127
-
-
Yamauchi, H.1
Iwata, T.2
Akamatsu, H.3
Fujita, T.4
-
15
-
-
51049094640
-
A 45 nm dual-port SRAM with write and read capability enhancement at low voltage
-
Oct
-
D. P. Wang, H. J. Liao, H. Yamauchi, W. Hwang, Y. L. Lin, Y. H. Chen, and H. C. Chang, "A 45 nm dual-port SRAM with write and read capability enhancement at low voltage," in IEEE SOCC Dig. Tech. Papers, Oct. 2007, pp. 211-214.
-
(2007)
IEEE SOCC Dig. Tech. Papers
, pp. 211-214
-
-
Wang, D.P.1
Liao, H.J.2
Yamauchi, H.3
Hwang, W.4
Lin, Y.L.5
Chen, Y.H.6
Chang, H.C.7
-
16
-
-
48349135999
-
Embedded SRAM circuit design technologies for a 45 nm and beyond
-
Oct
-
H. Yamauchi, "Embedded SRAM circuit design technologies for a 45 nm and beyond," in ASICON Dig. Tech. Papers, Oct. 2007, pp. 1028-1033.
-
(2007)
ASICON Dig. Tech. Papers
, pp. 1028-1033
-
-
Yamauchi, H.1
-
17
-
-
50849089591
-
Embedded SRAM trend in nano-scale CMOS
-
Dec
-
H. Yamauchi, "Embedded SRAM trend in nano-scale CMOS," in IEEE MTDTDig. Tech. Papers, Dec. 2007, pp. 19-22.
-
(2007)
IEEE MTDTDig. Tech. Papers
, pp. 19-22
-
-
Yamauchi, H.1
-
18
-
-
39549121994
-
A disturb decoupled column select 8T SRAM cell
-
DOI 10.1109/CICC.2007.4405674, 4405674, Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, CICC
-
V. Ramadurai, R. Joshi, and R. Kanj, "A disturb decoupled column select 8T SRAM cell," in CICCDig. Tech. Papers, Sep. 2007, pp. 25-28. (Pubitemid 351276926)
-
(2008)
Proceedings of the Custom Integrated Circuits Conference
, pp. 25-28
-
-
Ramadurai, V.1
Joshi, R.2
Kanj, R.3
-
19
-
-
39749201604
-
An SRAM design in 65nm and 45nm technology nodes featuring read and write-assist circuits to expand operating voltage
-
1705289, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
H. Pilo, J. Barwin, G. Braceras, C. Browning, S. Burns, J. Gabric, S. Lamphier, M. Miller, A. Roberts, and F. Towler, "An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage," in VLSI Symp. Tech. Dig., Jun. 2006, pp. 15-16. (Pubitemid 351306250)
-
(2006)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 15-16
-
-
Pilo, H.1
Barwin, J.2
Braceras, G.3
Browning, C.4
Burns, S.5
Gabric, J.6
Lamphier, S.7
Miller, M.8
Roberts, A.9
Towler, F.10
-
20
-
-
51949088226
-
A 0.6 V 45 nm adaptive dual-rail SRAM compiler circuit design for lower VDD-min VLSIs
-
Jun
-
Y. H. Chen, W. M. Chan, S. Y. Chou, H. J. Liao, H. Y. Pan, J. J. Wu, C. H. Lee, S. M. Yang, Y. C. Liu, and H. Yamauchi, "A 0.6 V 45 nm adaptive dual-rail SRAM compiler circuit design for lower VDD-min VLSIs," in VLSI Symp. Tech. Dig., Jun. 2008, pp. 210-211.
-
(2008)
VLSI Symp. Tech. Dig.
, pp. 210-211
-
-
Chen, Y.H.1
Chan, W.M.2
Chou, S.Y.3
Liao, H.J.4
Pan, H.Y.5
Wu, J.J.6
Lee, C.H.7
Yang, S.M.8
Liu, Y.C.9
Yamauchi, H.10
-
21
-
-
0024754187
-
Matching properties of MOS transistors
-
DOI 10.1109/JSSC.1989.572629
-
M. Pelgrom, A. Duinmaijer, and A. Welbers, "Matching properties of MOS transistors," IEEE J. Solid-State Circuits, vol. 24, no. 5, pp. 1433-1439, Oct. 1989. (Pubitemid 20618569)
-
(1989)
IEEE Journal of Solid-State Circuits
, vol.24
, Issue.5
, pp. 1433-1440
-
-
Pelgrom Marcel, J.M.1
Duinmaijer Aad, C.J.2
Welbers Anton, P.G.3
-
22
-
-
39549098322
-
Low-voltage limitations of memory-rich nano-scale CMOS LSIs
-
DOI 10.1109/ESSDERC.2007.4430883, 4430883, ESSDERC07 - 2007 37th European Solid State Device Research Conference
-
K. Itoh, M. Horiguchi, and M. Yamaoka, "Low-voltage limitations of memory-rich nano-scale CMOS LSIs," in 33rd ESSCIRC Dig. Tech. Papers, Sep. 2007, pp. 11-13. (Pubitemid 351278182)
-
(2008)
ESSDERC 2007 - Proceedings of the 37th European Solid-State Device Research Conference
, pp. 68-75
-
-
Itoh, K.1
Horiguchi, M.2
Yamaoka, M.3
-
23
-
-
34548049269
-
An experimental 0.8 v 256-kbit SRAM macro with boosted cell array scheme
-
Y. Chung and S.-W. Shim, "An experimental 0.8 V 256-kbit SRAM macro with boosted cell array scheme," ETRI J., vol. 29, no. 4, pp. 457-462, Aug. 2007. (Pubitemid 47282608)
-
(2007)
ETRI Journal
, vol.29
, Issue.4
, pp. 457-462
-
-
Chung, Y.1
Shim, S.-W.2
-
24
-
-
65349176228
-
Lanthanum aluminate gate dielectric technology with direct interface
-
M. Suzuki, "Lanthanum aluminate gate dielectric technology with direct interface," Toshiba Rev., vol. 62, no. 2, pp. 37-41, 2007.
-
(2007)
Toshiba Rev.
, vol.62
, Issue.2
, pp. 37-41
-
-
Suzuki, M.1
-
25
-
-
33748614600
-
Advanced high-κ dielectric stacks with polySi and metal gates: Recent progress and current challenges
-
DOI 10.1147/rd.504.0387
-
E. P. Gusev, V. Narayanan, and M. M. Frank, "Advanced high-j dielectric stacks with polySi and metal gates: Recent progress and current challenges," IBM J. Res. Develop., vol. 50, no. 4/5, pp. 387-410, Jul.-Sep. 2006. (Pubitemid 44375469)
-
(2006)
IBM Journal of Research and Development
, vol.50
, Issue.4-5
, pp. 387-410
-
-
Gusev, E.P.1
Narayanan, V.2
Frank, M.M.3
-
26
-
-
0034453465
-
Characteristics of TaN gate MOSFET with ultrathin hafnium oxide
-
S. J. Lee, "Characteristics of TaN gate MOSFET with ultrathin hafnium oxide," in IEDM Tech. Dig., 2000, pp. 39-42.
-
(2000)
IEDM Tech. Dig.
, pp. 39-42
-
-
Lee, S.J.1
-
27
-
-
41549168299
-
Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale CMOS
-
K. J. Kuhn, "Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale CMOS," in IEDM Tech. Dig., 2007, pp. 471-474.
-
(2007)
IEDM Tech. Dig.
, pp. 471-474
-
-
Kuhn, K.J.1
-
28
-
-
48649087666
-
Understanding random threshold voltage fluctuation by comparing multiple fabs and technologies
-
K. Takeuchi, T. Fukai, T. Tsunomura, A. T. Putra, A. Nishida, S. Kamohara, and T. Hiramoto, "Understanding random threshold voltage fluctuation by comparing multiple fabs and technologies," in IEDM Tech. Dig., 2007, pp. 467-470.
-
(2007)
IEDM Tech. Dig.
, pp. 467-470
-
-
Takeuchi, K.1
Fukai, T.2
Tsunomura, T.3
Putra, A.T.4
Nishida, A.5
Kamohara, S.6
Hiramoto, T.7
-
29
-
-
48649106119
-
Origin of the asymmetry in the magnitude of the statistical variability of n-and p-channel poly-Si gate bulk MOSFETs
-
Aug
-
A. Asenov, A. Cathignol, B. Cheng, K. P. McKenna, A. R. Brown, A. L. Shluger, D. Chanemougame, K. Rochereau, and G. Ghibaudo, "Origin of the asymmetry in the magnitude of the statistical variability of n-and p-channel poly-Si gate bulk MOSFETs," IEEE Electron Device Lett., vol. 29, no. 8, pp. 913-915, Aug. 2008.
-
(2008)
IEEE Electron. Device Lett.
, vol.29
, Issue.8
, pp. 913-915
-
-
Asenov, A.1
Cathignol, A.2
Cheng, B.3
McKenna, K.P.4
Brown, A.R.5
Shluger, A.L.6
Chanemougame, D.7
Rochereau, K.8
Ghibaudo, G.9
-
31
-
-
29144526605
-
Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS
-
DOI 10.1109/TCAD.2005.852295
-
S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 12, pp. 1859-1880, Dec. 2005. (Pubitemid 41807352)
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.12
, pp. 1859-1879
-
-
Mukhopadhyay, S.1
Mahmoodi, H.2
Roy, K.3
-
32
-
-
49549087315
-
65 nm lowpower high-density SRAM operable at 1.0 V under 3σ systematic variation using separate Vth monitoring and body bias for NMOS and PMOS
-
Feb
-
M. Yamaoka, N. Maeda, Y. Shimazaki, and K. Osada, "65 nm lowpower high-density SRAM operable at 1.0 V under 3σ systematic variation using separate Vth monitoring and body bias for NMOS and PMOS," in IEEE Solid-State Circ. Tech. Dig. Papers, Feb. 2008, pp. 384-385.
-
(2008)
IEEE Solid-State Circ. Tech. Dig. Papers
, pp. 384-385
-
-
Yamaoka, M.1
Maeda, N.2
Shimazaki, Y.3
Osada, K.4
-
33
-
-
51949110702
-
Analyses of 5σ Vth fluctuation in 65 nm MOSFETs using Takeuchi plot
-
Jun
-
T. Tsunomura, A. Nishida, F. Yano, A. T. Putra, K. Takeuchi, S. Inaba, S. Kamohara, K. Terada, T. Hiramoto, and T. Mogami, "Analyses of 5σ Vth fluctuation in 65 nm MOSFETs using Takeuchi plot," in VLSI Symp. Tech. Dig., Jun. 2008, pp. 156-157.
-
(2008)
VLSI Symp. Tech. Dig.
, pp. 156-157
-
-
Tsunomura, T.1
Nishida, A.2
Yano, F.3
Putra, A.T.4
Takeuchi, K.5
Inaba, S.6
Kamohara, S.7
Terada, K.8
Hiramoto, T.9
Mogami, T.10
-
34
-
-
51949090678
-
Reduction of Vth variation by work function optimization for 45-nm node SRAM celle
-
Jun
-
G. Tsutui, K. Tsunoda, N. Kariya, Y. Akiyama, T. Abe, S. Maruyama, T. Fukase, M. Suzuki, Y. Yamagata, and K. Imai, "Reduction of Vth variation by work function optimization for 45-nm node SRAM celle," in VLSI Symp. Tech. Dig., Jun. 2008, pp. 158-159.
-
(2008)
VLSI Symp. Tech. Dig.
, pp. 158-159
-
-
Tsutui, G.1
Tsunoda, K.2
Kariya, N.3
Akiyama, Y.4
Abe, T.5
Maruyama, S.6
Fukase, T.7
Suzuki, M.8
Yamagata, Y.9
Imai, K.10
-
35
-
-
51949095326
-
45 nm low-power CMOS SoC technology with aggressive reduction of random variation for SRAM and analog transistor
-
Jun
-
S. Ekbote, K. Benaissa, B. Obradovic, S. Liu, H. Shichijo, F. Hou, T. Blythe, T. W. Houston, S. Martin, R. Taylor, A. Singh, H. Yang, and G. Baldwin, "45 nm low-power CMOS SoC technology with aggressive reduction of random variation for SRAM and analog transistor," in VLSI Symp. Tech. Dig., Jun. 2008, pp. 158-159.
-
(2008)
VLSI Symp. Tech. Dig.
, pp. 158-159
-
-
Ekbote, S.1
Benaissa, K.2
Obradovic, B.3
Liu, S.4
Shichijo, H.5
Hou, F.6
Blythe, T.7
Houston, T.W.8
Martin, S.9
Taylor, R.10
Singh, A.11
Yang, H.12
Baldwin, G.13
-
36
-
-
51949107160
-
A cost effective 32 nm high-k/metal gate CMOS technology for low power applications with single-metal/gate-first process
-
Jun
-
X. Chen, S. Samavedam, V. Narayanan, K. Stein, C. Hobbs, C. Baiocco, W. Li, D. Jaeger, M. Zaleski, H. S. Yang, N. Kim, Y. Lee, D. Zhang, L. Kang, J. Chen, H. Zhuang, A. Sheikh, J. Wallner, M. Aquilino, J. Han, Z. Jin, J. Li, G. Massey, S. Kalpat, R. Jha, N. Moumen, R. Mo, S. Kirshnan, X. Wang, M. Chudzik, M. Chowdhury, D. Nair, C. Reddy, Y. W. Teh, C. Kothandaraman, D. Coolbaugh, S. Pandey, D. Tekleab, A. Thean, M. Sherony, C. Lage, J. Sudijono, R. Lindsay, J. H. Ku, M. Khare, and A. Steegen, "A cost effective 32 nm high-k/metal gate CMOS technology for low power applications with single-metal/gate-first process," in VLSI Symp. Tech. Dig., Jun. 2008, pp. 88-89.
-
(2008)
VLSI Symp. Tech. Dig.
, pp. 88-89
-
-
Chen, X.1
Samavedam, S.2
Narayanan, V.3
Stein, K.4
Hobbs, C.5
Baiocco, C.6
Li, W.7
Jaeger, D.8
Zaleski, M.9
Yang, H.S.10
Kim, N.11
Lee, Y.12
Zhang, D.13
Kang, L.14
Chen, J.15
Zhuang, H.16
Sheikh, A.17
Wallner, J.18
Aquilino, M.19
Han, J.20
Jin, Z.21
Li, J.22
Massey, G.23
Kalpat, S.24
Jha, R.25
Moumen, N.26
Mo, R.27
Kirshnan, S.28
Wang, X.29
Chudzik, M.30
Chowdhury, M.31
Nair, D.32
Reddy, C.33
Teh, Y.W.34
Kothandaraman, C.35
Coolbaugh, D.36
Pandey, S.37
Tekleab, D.38
Thean, A.39
Sherony, M.40
Lage, C.41
Sudijono, J.42
Lindsay, R.43
Ku, J.H.44
Khare, M.45
Steegen, A.46
more..
-
37
-
-
58049120007
-
Importance sampling Monte Carlo simulations for accurate estimation of SRAM yield
-
Sep
-
T. S. Doorn, E. J. W. Maten, J. A. Croon, A. D. Bucchianico, and O. Wittich, "Importance sampling Monte Carlo simulations for accurate estimation of SRAM yield," in IEEE 34th ESSCIRC Dig. Tech. Papers, Sep. 2008, pp. 230-233.
-
(2008)
IEEE 34th ESSCIRC Dig. Tech. Papers
, pp. 230-233
-
-
Doorn, T.S.1
Maten, E.J.W.2
Croon, J.A.3
Bucchianico, A.D.4
Wittich, O.5
-
38
-
-
34547208344
-
Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events
-
DOI 10.1145/1146909.1146930, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
R. Kanj, R. Joshi, and S. Nassif, "Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events," in Des. Autom. Conf. Dig. Tech. Papers, Jul. 2006, pp. 69-72. (Pubitemid 47113869)
-
(2006)
Proceedings - Design Automation Conference
, pp. 69-72
-
-
Kanj, R.1
Joshi, R.2
Nassif, S.3
-
39
-
-
33750831908
-
Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability
-
DOI 10.1109/ICCAD.2005.1560101, 1560101, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design
-
T. Tsukamoto, K. Nii, S. Imaoka, Y. Oda, S. Ohbayashi, T. Yoshizawa, H. Makino, K. Ishibashi, and H. Shinohara, "Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability," in ICCAD Dig. Tech. Papers, Nov. 2005, pp. 398-405. (Pubitemid 44815745)
-
(2005)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
, vol.2005
, pp. 398-405
-
-
Tsukamoto, Y.1
Nii, K.2
Imaoka, S.3
Oda, Y.4
Ohbayashi, S.5
Yoshizawa, T.6
Makino, H.7
Ishibashi, K.8
Shinohara, H.9
|